基于fpga无叶风扇控制器的设计与制作(编辑修改稿)内容摘要:
3. 电机控制按键: key1:控制电机启动; key2:停止; key3:气压增加按键;key4:气压减小按键; PWM 发生器 1. PWM 控制电机, PWM 输出低电平就启动电机转,而不是高电平,因此这里的占空比 2. 是低电平与周期的比值,这里设置 PWM 开关频率为 2KHZ;分 100 等分; 杭州电子科技大学继续教育学院毕业设计(论文) 16 4 系统联机调试 操作细则 通过无叶风扇控制器前面板的按键,可以实现无叶风扇的气压(风量)大小设定和起动停止控制功能,要求实现如下功能: 设定功能 按上升“↑”键或 下降“↓”键可以设定无叶风扇气压的大小,设定值在左边 4 位数码管上显示,每按一次按键,增加或减小 10 帕气压值,设定范围从 0帕到 400 帕。 按键可以单次释放细调,也可以长按快速调节。 起停功能 按起动功能键可实现无叶风扇的起动功能,按停止功能键可实现无叶风扇的停止功能,在开机时控制器要处于停止状态,设定值和测量值均显示“ 000”。 无叶风扇运行时实际输出的气压应闭环控制,跟随设定的气压。 实际的气压值在右边 4位数码管上显示,显示的气压单位为“帕”,气压值以整数显示。 按停止键后无叶风扇停止运行,气压设定值 保持不变。 气压传感器可通过 U 形气压计标定。 无叶风扇控制器的前面板上有人体红外感应器,在无叶风扇处于停止状态时,当感应器检测到有人体活动存在,风扇自动运行至设定气压值。 调试 总结 在 调试 的过程中,差动放大电 路比较不好调节。 我们最好当压力传感器在静态工作的时候先测量压力传感器输出电压,然后把 差动放大电 路的 RW1 电位器分压调到与传感器输出电压相同,才能把输出信号接到 差动放大电 路中,然后调节电位器 RW2 可使失调电压为零。 在经过 UA741 集成运算放大器构成 电压跟随器 ,信号缓冲隔离,阻抗匹配输入到 串口 AD转换电路。 杭州电子科技大学继续教育学院毕业设计(论文) 17 .面板介绍 图 3- 2 面板示意图 所示为本系统的前面板,主要包括显示区、按键区、电源开关及传感器信号输入。 其中,左边显示区用于显示设定压力值,右边显示区用于显示测量压力值。 按键区中启动键用于启动操作;停止键用于停止操作;上升键用于增加压力值操作;下降键用于减小压力值操作。 电源开关用于接通或关断与 220V 市电的连接。 . 后 面板介绍 图 3- 3 后板示意图 所示为本系统的后面板,主要包括交流 220V 电源输入端子、电机接口输出端子、传感器 信号输入端子。 其中,交流 220V 电源输入端子里面还自带保险丝。 杭州电子科技大学继续教育学院毕业设计(论文) 18 结 论 通过对无叶风扇控制器的设计与制作与模拟仿真实验等调试工作,得出以下结论: ,正确设计了 FPGA 控制系统的主电路、外部接口电路及控制程序等,经过实验表明,设计方案正确可行。 完成整个系统实物装配与调试。 、安全、环保、 健康、省电、方便、价廉等优势,在市场中有一定的竞争力的产品。 ,成本还是比较高的,如果用在什么类似的测量的地方是比较好的。 4. 本课题的研究中 尚存在的问题是差动放大电 路中的电位器调节时,不能很精确调到自己想要的,很容易松动。 建议调好以后再改使用固定的电阻。 5. 本无叶风扇控制器中风扇的电机要的电流比较大,建议不要共用一个开关电源。 在焊接 FPGA 芯片时,由于管脚比较多,在焊接时一定放正、焊牢。 、报警、倾斜保护、转向、遥控等常用功能。 杭州电子科技大学继续教育学院毕业设计(论文) 19 致谢 本论文设计在刘子坚老师的悉心指导和严格要求下业已完成,从课题选择到具体的写作过程,无不凝聚着刘子坚老师的心血和汗水,在我的毕业论文写作期间,刘子坚老 师为我提供了种种专业知识上的指导和一些富于创造性的建议,没有这样的帮助和关怀,我不会这么顺利的完成毕业论文。 在此向刘子坚老师表示深深的感谢和崇高的敬意。 在临近毕业之际,我还要借此机会向在这三年中给予了我帮助和指导的所有老师表示由衷的谢意,感谢他们三年来的辛勤栽培。 不积跬步何以至千里,各位任课老师认真负责,在他们的悉心帮助和支持下,我能够很好的掌握和运用专业知识,并在设计中得以体现,顺利完成毕业论文。 同时,在论文写作过程中,我还参考了有关的书籍和论文,在这里一并向有关的作者表示谢意。 杭州电子科技大学继续教育学院毕业设计(论文) 20 参考文献 [1] 潘明 , 潘松 . 数字电子技术基础 [M]. 科学出版社 ,2020: 108~ 295. [2] 姚远,李辰等 . FPGA 应用开发入门与典型实例 [M].北京 : 人民邮电出版社 , 2020. [3] 王金明 . 数字系统设计与 Verilog HDL(第 4版) [M].北京:电子工业出版社, 2020. [4] 刘文光 . 硅压阻式轮胎气压传感器电路设计 [ J]. 轮胎工业 , 2020: 299~ 301. [5] 陈杰,黄鸿 . 传感器与检测技术(第 2 版) [M]. 北京:高等教 育出版社,2020. [6] 辜文杰,方宏 . 基于热释电效应的红外人体检测 [ J]. 电子世界 , 2020: 48~ 49. [7] 胡宴如 , 耿苏燕 . 模拟电子技术(第 3 版) [M]. 高等教育出版社 ,2020: 28~ 251. [8] 陈学平 . Altium Designer Summer10 电路设计与制作 [M]. 电子工业出版社 ,2020: 41~ 192. 杭州电子科技大学继续教育学院毕业设计(论文) 21 附 件 附件 1 :部分元器件清单 杭州电子科技大学继续教育学院毕业设计(论文) 22 杭州电子科技大学继续教育学院毕业设计(论文) 23 杭州电子科技大学继续教育学院毕业设计(论文) 24 附件 2:程序清单 顶层 library IEEE。 use。 use。 use。 entity Total_Program is port( CLK : in std_logic。 100MHZ 时钟信号 RESET : in std_logic。 人体热释电 INFRARED_RECEIVE : in std_logic。 红外人体感应输出信号 INF_LED : out std_logic。 人体红外热释电感应到就灯亮 按键控制 KEY_IN : in std_logic_vector(3 downto 0)。 四个按键 AD7991 采样 LED3:out std_logic。 转换成功标志 SDA : inout std_logic。 SCL : out std_logic。 AD_CONVERSION_SPEED :out std_logic。 ad 采样速度,用于观察 电机控制 PWM_OUT : out std_logic。 数码管显示 DOT: out std_logic。 小数点 LED7 :out std_logic_vector(6 downto 0)。 gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 end Total_Program。 architecture Behavioral of Total_Program is 按键子程序 ponent ManyKeys port( CLK : in std_logic。 RESET : in std_logic。 KEY_IN : in std_logic_vector(3 downto 0)。 四个按键 KEY_OUT : out std_logic_vector(3 downto 0) 按键输出指示 ,对应key1~key4 )。 end ponent。 AD 采样 ponent ack_check port( CLK : in std_logic。 100MHZ RESET : in std_logic。 杭州电子科技大学继续教育学院毕业设计(论文) 25 Sampling_fre: out std_logic。 AD7991 每次转换标志 LED3:out std_logic。 转换成功标志 SDA : inout std_logic。 SCL : out std_logic。 AD_CONVERSION_SPEED :out std_logic。 DATA_OUT: out std_logic_vector(11 downto 0) )。 end ponent。 电机控制程序 ponent Motor_Control port( CLK : in std_logic。 RESET : in std_logic。 KEY1,KEY2,KEY3,KEY4 : in std_logic。 四个独立按键 SAMPLING_FLAG : in std_logic。 AD7991 每次采样标志 INFRARED_RECEIVE : in std_logic。 红外热释电接收信号 INF_LED : out std_logic。 人体红外热释电感应到就灯亮 SAMPLING_DATA : in std_logic_vector(11 downto 0)。 AD7991 每次采样输出的 12bits 数据 SMG_DATA_SV : out std_logic_vector(11 downto 0)。 给数码管显示的设定数据; SMG_DATA_PV : out std_logic_vector(11 downto 0)。 给数码管显示的测量值 PWM_DUTY : out std_logic_vector(6 downto 0) 控制 PWM 的数值 )。 end ponent。 PWM 控制 ponent PWM port( CLK : in std_logic。 RESET : in std_logic。 DUTY : in std_logic_vector(6 downto 0)。 从 0~100% PWM_OUT : out std_logic )。 end ponent。 数码管显示 ponent Smg_Display port( CLK :in std_logic。 100MHZ RESET : in std_logic。 DATA_SV : in std_logic_vector(11 downto 0)。 DATA_PV : in std_logic_vector(11 downto 0)。 DOT: out std_logic。 MSB LSB LED7 :out std_logic_vector(6 downto 0)。 gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 end ponent。 signal key_reg : std_logic_vector(3 downto 0)。 signal sampling_reg : std_logic。 signal ad_reg : std_logic_vector(11 downto 0)。 signal duty_reg : std_logic_vector(6 downto 0)。 杭州电子科技大学继续教育学院毕业设计(论文) 26 signal sv_smg,pv_smg : std_logic_vector(11 downto 0)。 begin UA : ManyKeys port map ( CLK = CLK , RESET = RESET ,。基于fpga无叶风扇控制器的设计与制作(编辑修改稿)
相关推荐
,用户 只需在驱动器上改变细分数,就可以改变步距角。 步进电机的分类 通常步进电机一般可分为永磁式步进电机 (PM Step Motor),反应式步进电机 (VR Step Motor)和混合式步进电机 (Hybrid Step Motor)三类。 平顶山工学院毕业设计论文 14 转子上安装永久磁钢的步进电机叫做永磁式步进电机。 其定子上绕有绕组,转子装有磁钢
,每一行由一个单独的位来控制,高电平有效。 例如 “0000”表示第 0 列, “0000000000000001”表示第一行的点亮。 由于列是由一个向量决定,而每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就决定了只能用逐列扫描的方法。 例如要使第一列的 2,4,6,8,行亮,则列为 “0001”、行为 “0000000010101010”就可以实现了。 方案二: VHDL
数器寻址波形存 储器的 任意波形发生器 在一段时期内曾得到广泛的应用,其取样时钟 频率较高且 可调节, 但其 对硬件要求比较高,需要高性能的锁相环和 截止频率可调的低通滤波器 (或者 多个低通滤波器 ) ,且频率分辨率低,频率切换速度较慢,已经逐步退出市场。 目前市场上的任意波形发生器主要采用直接数字合成( Direct Digital Synthesuzer, DDS)技术
...................................... 33 表 单片机 片内 RAM 分配 ......................................................................................... 36 表 测试项目表 ........................................
: IN std_logic。 dataout : OUT std_logic_vector(7 DOWNTO 0)。 各段数据输出 en : OUT std_logic_vector(7 DOWNTO 0))。 COM 使能输出 END seg70。 ARCHITECTURE arch OF seg70 IS signal t_scan : std_logic_vector(15 downto
设总线M c B S P 1M c B S P 2M c B S P 3H P 1G P I / OC l o c k P L LG e n e r a t o rS / W W a i t s t a t eG e n e r a t o rT i m e rJ T A G 测 试 / 仿 真 接 口 电 源 管 理 图 TMS320VC5416芯片框图 ( 1) CPU:包括算术逻辑运算单元