基于sopc交通灯的eda课程设计(编辑修改稿)内容摘要:
输入,但是该时钟频率对于完成本设计是不够的,数码管以及点阵的动态显示都要用到时钟,而且两个的扫描的时钟频率不一样,这样,就要求对原有的时钟频率进行分频,得到所需要的时钟频率。 时钟与控制器的连接图如下图所示: 图 32 数字时钟信号模块电 3 引脚分配 本模块用到的模块与实验箱的引脚连接如下表所示: 模块名称 信 号名称 FPGA I/O 管脚号 功能说明 时钟模块 CLK Pin_A14 时钟信号 紧急情况模块 S1 Pin_AF5 按键 0 东西方向控制模块 LED1 Pin_AE8 东西方向红灯 LED2 Pin_J22 东西方向黄灯 LED3 Pin_M24 东西方向绿灯 南北方向控制模块 LED10 Pin_F22 南北方向红灯 LED11 Pin_E22 南北方向黄灯 LED12 Pin_F21 南北方向绿灯 数码管显示模块 LEDAG0 Pin_G16 数码管 A 段 LEDAG1 Pin_G17 数码管 B 段 LEDAG2 Pin_F18 数码管 C 段 LEDAG3 Pin_G18 数码管 D 段 LEDAG4 Pin_G15 数码管 E 段 LEDAG5 Pin_G14 数码管 F 段 LEDAG6 Pin_G12 数码管 G 段 LEDAG7 Pin_M21 数码管 DP 段 DEL0 Pin_C22 38 译码器第一个输入端 DEL1 Pin_D22 38 译码器第二个输入端 DEL2 Pin_G9 38 译码器第三个输入端 EDA 课程设计论文 14 点阵显 示模块 DOT_R[0] Pin_C17 点阵第一行 DOT_R[1] Pin_D15 点阵第二行 DOT_R[2] Pin_D14 点阵第三行 DOT_R[3] Pin_D13 点阵第四行 DOT_R[4] Pin_D12 点阵第五行 DOT_R[5] Pin_D10 点阵第六行 DOT_R[6] Pin_C10 点阵第七行 DOT_R[7] Pin_C9 点阵第八行 DOT_R[8] Pin_D21 点阵第九行 DOT_R[9] Pin_C21 点阵第十行 DOT_R[10] Pin_D20 点阵第十一行 DOT_R[11] Pin_D19 点阵第十二行 DOT_R[12] Pin_C19 点阵第十三行 DOT_R[13] Pin_D18 点阵第十四行 DOT_R[14] Pin_C18 点阵第十五行 DOT_R[15] Pin_D17 点阵第十六行 DOT_C0 Pin_L5 416 转换第一个输入端 DOT_C1 Pin_H6 416 转换第二个输入端 DOT_C2 Pin_H7 416 转换第三个输入端 DOT_C3 Pin_H5 416 转换第四个输入端 EDA 课程设计论文 15 总结 通过本次设计,初步了解了 quartusII 软件的基本操作。 熟悉了数码管、点阵的动态扫描方法和原理,了解了 VHDL 语言的结构特点及编程思想,能够编写简单的程序。 在设计过程中,遇到了许多的问题,通过与同学和老师的交流,以及自己在图书馆查找资料,最终完成了本设计。 设计能够按照预期的要求正常工作,但是,设计还存在一些不足,东西方向和南北方向的红绿灯计时时间相同,不能够达到两个方向的时间不同步显示;点阵只能够现实普通的数字和汉字,还不能够现实人形,在红灯亮时 ,人形不动,当绿灯亮时,人形行走,此功能还不能实现。 在接下来的时间里,会在这方面有所突破,完善本设计。 参考文献 ,李立军 . EDA 技术基础 [ M]. 北京: 北京大学 出版社, ,张洲 .VHDL 基础及经典实例开发 [ M].西安 : 西安交通大学 出版社, ,谭克俊,颜得文 .VHDL 编程实例(第四版) [ M]. 北京: 电子工业出版社 , .VHDL 开发精解与实例剖析 [ M]. 北京: 电子工业出版社 , EDA 课程设计论文 16 附录:源程序清单 十字路口交通灯的设计 学号 030940910 姓名 杨波 library ieee。 库文件 use。 use。 use。 entity traffic is port(clk : in std_logic。 时钟信号 del : buffer std_logic_vector(2 downto 0)。 38 译码器输入 seg : out std_logic_vector(7 downto 0)。 数码管段选 key : in std_logic。 按键 S1,紧急情况 east_west_led_red : out std_logic。 东西方向红灯 east_west_led_yellow : out std_logic。 东西方向黄灯 east_west_led_green : out std_logic。 东西方向绿灯 south_north_led_red : out std_logic。 南北方向红灯 south_north_led_yellow : out std_logic。 南北方向黄灯 south_north_led_green : out std_logic。 南北方向绿灯 hang : out std_logic_vector(15 downto 0)。 点阵的行 lie : out std_logic_vector(3 downto 0) 416 转换输入 )。 end traffic。 architecture behave of traffic is signal count_nanbei : integer range 0 to 45。 signal count_dongxi : integer range 0 to 45。 signal num_nanbei : integer range 0 to 25。 signal num_dongxi : integer range 0 to 25。 signal e_w_shiwei : integer range 0 to 9。 signal e_w_gewei : integer range 0 to 9。 signal s_n_shiwei : integer range 0 to 9。 signal s_n_gewei : integer range 0 to 9。 signal dis : std_logic_vector(7 downto 0)。 signal display : integer range 0 to 10。 signal clk_count : std_logic_vector(13 downto 0)。 signal clk1HZ : std_logic。 signal cdount : std_logic_vector(3 downto 0)。 signal dount : std_logic_vector(8 downto 0)。 signal s : std_logic_vector(2 downto 0)。 EDA 课程设计论文 17 begin process(clk) 分频 begin if (clk39。 event and clk=39。 139。 ) then if(clk_count10000) then clk_count=clk_count+1。 else。基于sopc交通灯的eda课程设计(编辑修改稿)
相关推荐
项目的收 益性及经济性进行分析。 在战略性投资决策 分析中 , 折现自由现金流量法及实物期权法考虑了投资中所面临的风险问题,与战略管理目标最贴合,最能体现出 战略 管理会计决策的核心价值。 使用 自由现金流量折现法 的关键 在于 确定预期企业未来存续期各年度的 自由 现金流量以及 合理的公允的 折现率 , 但是,由于投资项目 存在着许多事前无法进行预测和估计的不确定性因素,自由现金流量法 有时
PWM降压模式铅酸电池充电管理集成电路,独立对铅酸电池充电进行自动管理,具有封装外形小,外围元器件少和使用简单等优点。 CN3717具有涓流,恒流,过充电和浮充电模式,非常适合铅酸电池的充电。 在过充电和浮充电模式,充电电压由外部电阻 分压网络设置;在恒流充电模式,充电电流通过一个外部电阻设置。 对于深度放电的电池,当电池电压低于所设置的过充电电压的 %时,CN3717用所设置的恒流充电电流的
2Ax 系列MCU(单片机),该单片机是该公司设计、生产的高性能 8 位系列 MCU,其指令系统与 MCS51 兼容,内部功能、引脚功能、引脚排列以及引脚的电气特性与 AT89S52 基本兼容。 LS052A 系列 MCU 采用新型的发明专利技术 L 体系结构技术实现了多核并发处理引擎,支持同时并发地执行三道程序。 LS 主要功能特性 LS052Ax 片内包含 2K~ 64K 字节程序存储器
场装置(含变送器、执行器等)进行远程诊断、维护和组态,这是其他系统无法达到的 [9]。 但是,FCS 还没有完全成熟,它才刚刚进入实用化的现阶段,另一方面,另一方面, 目前现场总线的国际标准共有 12 种之多,这给 FSC 的广泛应用添加了很大的阻力。 各种温度系统都有自己的优缺点,用户需要根据实际需要选择系统配置,当然,在实际运用中,为了达到更好的控制系统,可以采取多个系统的集成,做到互补长短
lationships Vertical integration can be viewed as an extreme form of vertical restraints Hence, explanations of vertical restraints can also be used to explain vertical integration In the
、Bulldog bonds 中国人民大学财政金融学院国际金融精品课程 24 欧洲债券:指借款人在外国资本市场发行的非东道国货币标价债券 通常由银行等金融机构建立的国际承销辛迪加出售,并由相关国家政府提供担保 欧洲债券市场是跨国公司筹集长期资金的一个重要渠道,对于借贷双方都具有国际性的特征 中国人民大学财政金融学院国际金融精品课程 25 欧洲债券市场特点 对筹资者而言