多功能电子钟设计报告(编辑修改稿)内容摘要:
reg signal_in_n_1dly。 reg signal_in_n_2dly。 reg signal_in_n_3dly。 wire signal_in_edge。 //指示输入信号的上升 /下降沿 /******************************************** 行为描述 ********************************************/ 兰州理工大学 13 //异步信号的同步处理 always @ ( posedge clk or negedge rst_n ) begin if ( rst_n == 139。 b0 ) begin signal_in_n_1dly = U_DLY 139。 b1。 signal_in_n_2dly = U_DLY 139。 b1。 signal_in_n_3dly = U_DLY 139。 b1。 end else begin signal_in_n_1dly = U_DLY signal_in_n。 signal_in_n_2dly = U_DLY signal_in_n_1dly。 signal_in_n_3dly = U_DLY signal_in_n_2dly。 end end assign signal_in_edge = signal_in_n_3dly ^ signal_in_n_2dly。 //产生信号上升 /下降沿指示信号 always @ ( posedge clk or negedge rst_n ) begin if ( rst_n == 139。 b0 ) t = U_DLY 139。 d0。 else if (signal_in_edge == 139。 b1) //检测输入信号有跳变后,计数器就清零 t = U_DLY 139。 d0。 else if (en_t == 139。 b1 amp。 amp。 t != CNT_TH) //计数器没计满后 加 1计数 t = U_DLY t + 139。 d1。 else。 //否则,保持原来的数值 end 兰州理工大学 14 always @ ( posedge clk or negedge rst_n ) begin if ( rst_n == 139。 b0 ) signal_out_n = U_DLY 139。 b1。 else if ( t == CNT_TH ) signal_out_n = U_DLY signal_in_n_3dly。 else。 end endmodule 仿真波形图 时模块 校时模块为在任意时刻可以对月、日、时、分、秒进行校正。 校时模块程序: `timescale 1ns/100ps module counter_ds( /*******************************************/ //输入 PORT 说明 /*******************************************/ input wire clk , //输入时钟 input wire rst_n , //输入复位 input wire set_add , //设定进位 output wire [3:0] gewei , //输出个位 output wire [3:0] shiwei //输出十位 兰州理工大学 15 )。 parameter [6:0] MAX_NUM = 639。 d59。 reg [6:0] current_num。 always @( posedge clk or negedge rst_n) begin if ( rst_n == 139。 b0) begin current_num[6:0] = 739。 b0。 end else if (set_add == 139。 b1 )begin current_num[6:0] = current_num[6:0] + 139。 b1。 end else if( current_num MAX_NUM ) begin current_num[6:0] = current_num[6:0] MAX_NUM。 end else。 end assign gewei[3:0] = current_num[6:0]%10。 assign shiwei[3:0] = (current_num[6:0]/10)%10。 endmodule 仿真波形图 译码显示电路 `timescale 1ns/100ps module diplay_8_8led ( input wire clk , //输入时钟 input wire rst_n。多功能电子钟设计报告(编辑修改稿)
相关推荐
lshi=1。 an++。 if(an==1) { Write_ds1302(Write_second,0x80)。 //先暂停时钟秒 u2=Read_ds1302(Read_second )。 u2=((u2amp。 0x70)4)*10+(u2amp。 0x0F)。 write_sfm(6,u2)。 lcd_w(0x80+0x40+7)。 lcd_w(0x38)。 lcd_w(0x0f)。
集成自行车功能在跑步机上,使用普通自行车与普通跑步机相结合即可达到专业运动设备的运动效果。 在普通跑步机的基础之上加装自行车扶手,适当加长跑步机的带长,一方面便于降低 骑行难度,另一方面增加部分安全措施,保证骑行安全,基本原理图如下图所 示 在普通跑步机的扶手基础之上加长,加装一个滑槽,对普通自行车加以改造之后令其车把可以再滑槽内小距离滑动,使其产生小范围的车速人工变动及其微量的角度变动
不形成配合,缸筒加工要求不高,工艺性好;缸盖上必须安装导向套;为减小运动惯性,柱塞通常采用空心式。 活塞式液压缸 应用极为广泛;小型活塞缸活塞与活塞杆为整体式,大中型活塞缸活塞杆和活塞为分体式;按往返驱动形式 的不同分为双作用式和单作用式。 变幅油缸缸工作要求安全性高、能够提供双向驱动力且大量加工经济,通过表 31 的比较选用活塞式液压缸。 整体尺寸初步设计 ( 1)经过简单几何分析可知
界知识出版社 ,1999 政策执行视角下的广东省援外医疗队派遣难问题研究 5 国与非洲卫生合作所取得的成绩。 同时他认为,中国与非洲的医疗合作应该进行多方面的改革,如提高援外医疗队员的水平和待遇、倡导形式多样的医疗合作、加强与国际多边、双边组织的合作,共同促进第三世界国家的卫生发展。 ① 中国现代国际关系研究院西亚非洲研究所副所长徐伟忠教授指出
留设伸缩缝时,分格条应在进行抹灰工序时就放入,待砂浆初凝后起出,修整边缝。 缝内填塞发泡聚乙烯圆棒 (条 )作背衬,直径或宽度为缝宽的 倍,再分两次勾填建筑密封膏,深度为缝宽的 5070%。 13 ( 11)安全文明生产,严格遵守有相关操作规程,实现安全生产和文明施工,服从总包管理。 ( 12)成品保护,外保温施工完成后,后续工序与其它正在进行的工序应注意对成品进行保护。 ( 13)破损部
西南科技大学本科生毕业论文 5 第 2 章 智能照明控制系统的总体设计分析 系统整体设计方案 考虑教室的智能照明节能,改进照明系统的运行,主要应关注两个方面,合理利用自然光作为辅助光源和根据教室内人数有效开启灯具的数量,这样能够在保证照明质量 的同时有效避免能源的浪费 [3]。 充分地利用自然光找到自然光与灯具开启的最佳平衡状态能够减少能源的损耗并且也保证了采光质量不会受到影响。