乒乓球比赛游戏机设计总结报告(编辑修改稿)内容摘要:
LIBRARY ieee。 USE。 use。 ENTITY xuanze IS PORT ( a : IN STD_LOGIC。 q1: in std_logic。 q2: in std_logic。 q : out STD_LOGIC )。 END xuanze。 ARCHITECTURE xuanze_architecture OF xuanze IS BEGIN process(a) begin if(a=39。 139。 ) then q=q2。 else q=q1。 end if。 end process。 END xuanze_architecture。 aq1q2qxuanzeinst5LIBRARY ieee。 USE。 use。 ENTITY dchufaqi IS PORT ( d : IN STD_LOGIC。 clk : IN STD_LOGIC。 q : buffer STD_LOGIC )。 END dchufaqi。 ARCHITECTURE dchufaqi_architecture OF dchufaqi IS BEGIN dclkqdchufaqiinst6现代电子与系统设计总结报告 12 核心问题 由于实验箱上的频率为 50MHz,译码器输出变化太快,显示在实验箱上的 8 个 LED 闪亮变化太快,以致无法识别。 因此需要降低频 率后在接到加减计数模块的 clk 端。 图形: VHDL 语言: process(clk) begin if(clk39。 event and clk=39。 039。 ) then q=d。 else q=q。 end if。 end process。 END dchufaqi_architecture。 clk y[ 24..0]ya nshiinst7library ieee。 use。 use。 entity yanshi is port ( clk: in std_logic。 y: buffer std_logic_vector(24 downto 0) )。 end yanshi。 architecture behave of yanshi is 现代电子与系统设计总结报告 13 在数码管上动态显示甲乙双方的得分。 动态显示模块: dongtaixianshi1 的 VHDL 语言: begin process(clk) begin if(clk39。 event and clk=39。 139。 ) then if(y=1000000000000000000000000 or y=1111111111111111111111111) then y=0000000000000000000000000。 else y=y+1。 end if。 end if。 end process。 end behave。 library ieee。 use。 use。 entity dongtaixianshi1 is port ( clk: IN STD_LOGIC。 y: buffer std_logic_vector(1 downto 0) 现代电子与系统设计总结报告 14 dongtaixianshi2 的 VHDL 语言: )。 end dongtaixianshi1。 architecture behave of dongtaixianshi1 is begin process(clk) begin if(clk39。 event and clk=39。 139。 ) then if(y=11) then y=00。 else y=y+1。 end if。 end if。 end process。 end behave。 library ieee。 use。 use。 entity dongtaixianshi2 is port ( a: in std_logic_vector(1 downto 0)。 yjia1,yjia0,yyi1,yyi0: in STD_LOGIC_VECTOR(6 downto 0)。 y: out std_logic_vector(6 downto 0)。 pianxuan: out std_logic_vector(3 downto 0) )。 end dongtaixianshi2。 architecture behave of dongtaixianshi2 is begin process(a) begin case a is when 00 = y=yjia1。 pianxuan=0111。 when 01 = y=yjia0。 pianxuan=1011。 when 10 = y=yyi1。 pianxuan=1101。 when OTHERS = y=yyi0。 pianxuan=1110。 end case。 end process。 end behave。 现代电子与系统设计总结报告 15 三、结果分析 注:本实验采取实际测试的方法。 采用 Altera 新一代的 MAXⅡ器件 EPM570T100C5。 程序下载方法采用 ByteBlaster。 分配引脚 : 分析: ( 1) 经测试,完全符合要求。 ( 2) 上 述设计的乒乓球比赛游戏机用到了自下而上的层次化设计方法,用到了 VHDL语言设计输入方法和原理图设计输入方法。 ( 3)由调节晶振产生的时钟脉冲信号的频率,可以调节球的运动速度。 符号 分配引脚 名称 备注 in1 pin_27 K201 选手甲,按下即为击球。 in2 pin_30 K204 选手乙,按下即为击球。 reset pin_33 S205 裁判,拨盘开关拨到左边即为将双方得分清零。 clk pin_62 CLK 时钟信号。 reset1 pin_34 S206 裁判,拨盘开关先拨到左边后拨到右边即为分配发球权。 y1[8] pin_50 D208 Y1[8..1]连到实验箱的 8个 LED。 当 Y1[8]亮时,要求乙迅速击球,当Y1[1]亮,要求甲迅速击球。 若击中,点亮的 LED会依次从左到右或从右到左;若未击中,球跳出桌外,对方得一分。 y1[7] pin_49 D207 y1[6] pin_48 D206 y1[5] pin_47 D205 y1[4] pin_42 D204 y1[3] pin_41 D203 y1[2] pin_40 D202 y1[1] pin_38 D201 y[6] pin_81 a 显示计分 y[5] pin_82 b y[4。乒乓球比赛游戏机设计总结报告(编辑修改稿)
相关推荐
惯来选择经营品种,以此吸引消费者,引发美食潮流。 所以,主题西餐厅正是依靠具有主题风格的装修、特色美食和文化吸引顾客的。 主题餐厅概念源自于国 外,兴起于 20 世纪五、六十年代,而主题餐厅在大陆兴起是在20 世纪 90 年代后期,它是指通过一个或多个主题为吸引标志的饮食场所,在消费者身临其境的时候,经过观察和联想,进入期望的主题情景,就像“亲临”世界另一端、重温某段历史、了解一种陌生文化。
检查,及时发现隐患,及时整改。 避免安全事故发生,影响进度。 钢结构制作 钢结构加工系统管理(见下图 ): 材料要求 本项工程所用钢材主构件为 Q235B 钢,其性能符 合《碳素结构钢》GB70088 中有关标准要求 主钢结构手工用焊条为 J422,应符合《碳钢焊条》 GB511785中有关标准规定要求,埋弧焊所用的焊丝为 H08A,应符合国家标准《焊接用钢丝》( GB130077)的规定。
房设置室内消火栓并配置手提式干粉灭火器。 11 2)防电气伤害 设于室外的变压器四周设置围栏,围栏加锁,并设置“当心触电”的黄色警告标志;各电气设备之间设不小于 宽通道,以保证维修和操作人员安全。 3)防噪声 办公、值班室远离厂房布置,选用低噪音设备,并可采取减震设施、加隔音盖板等措施,值班人员进入时带护耳器,以减小噪声对人员的伤害。 4)防坠落伤害 高度在 2m 以上的平台、孔、坑、洞 等
传感器 DS18B20 复位和读写操作。 对温度进行采集,按时序直接从温度传感器读入温度值的数字信号(这就是所测的温度值,因为 DS18B20 是最新单线数字温度传感器),最后存入内存。 由于精度准确、分辨率高、抗干扰性好、无需校验。 由于所读出的数据 格式为二进制数的补码,所以求出温度值的原码(当然正数是不必转换)。 在显示温度值时,还需要进行十进制的转换,字符代码的转换。
强度选择 VV 铜芯橡套电力电缆 3 502 +2 252 , 埋地穿管敷设。 ⑺ .分配电箱至对应的开关箱支线及开关电器的选择,可参照(规范)附录c(电动机负荷线和电器选配) 设计配电装置、选择电器。 ⑴ 总配 电柜以下可设若干分配电箱;分配电箱以下可设若干开关箱。 总配电柜(箱)应设在靠近电源的地区。 分配电箱应装设在用电设备或负荷相对集中的地区。 分配电箱与开关箱的距离不得超过 30m
)基本喷油脉冲宽度( ms) : 由进气质量流量和发动机转速确定。 1)基本喷射持续时间随进气质量流量增大和发动机转速减小而增长; 2)叶片式空气流量传感器、卡门漩涡式空气流量传感器和进气压力传感器检测的进气流量是体积流量 ,需进行进气温度修正和大气压力修正,基本喷射持续时间随进气温度降低和大气压力增大而增长; 3)热线式和热膜式流量传感器检测的是质量流量,不需进气温度和大气压力修正。 (