乒乓球比赛游戏机设计总结报告(编辑修改稿)内容摘要:

LIBRARY ieee。 USE。 use。 ENTITY xuanze IS PORT ( a : IN STD_LOGIC。 q1: in std_logic。 q2: in std_logic。 q : out STD_LOGIC )。 END xuanze。 ARCHITECTURE xuanze_architecture OF xuanze IS BEGIN process(a) begin if(a=39。 139。 ) then q=q2。 else q=q1。 end if。 end process。 END xuanze_architecture。 aq1q2qxuanzeinst5LIBRARY ieee。 USE。 use。 ENTITY dchufaqi IS PORT ( d : IN STD_LOGIC。 clk : IN STD_LOGIC。 q : buffer STD_LOGIC )。 END dchufaqi。 ARCHITECTURE dchufaqi_architecture OF dchufaqi IS BEGIN dclkqdchufaqiinst6现代电子与系统设计总结报告 12 核心问题 由于实验箱上的频率为 50MHz,译码器输出变化太快,显示在实验箱上的 8 个 LED 闪亮变化太快,以致无法识别。 因此需要降低频 率后在接到加减计数模块的 clk 端。 图形: VHDL 语言: process(clk) begin if(clk39。 event and clk=39。 039。 ) then q=d。 else q=q。 end if。 end process。 END dchufaqi_architecture。 clk y[ 24..0]ya nshiinst7library ieee。 use。 use。 entity yanshi is port ( clk: in std_logic。 y: buffer std_logic_vector(24 downto 0) )。 end yanshi。 architecture behave of yanshi is 现代电子与系统设计总结报告 13 在数码管上动态显示甲乙双方的得分。 动态显示模块: dongtaixianshi1 的 VHDL 语言: begin process(clk) begin if(clk39。 event and clk=39。 139。 ) then if(y=1000000000000000000000000 or y=1111111111111111111111111) then y=0000000000000000000000000。 else y=y+1。 end if。 end if。 end process。 end behave。 library ieee。 use。 use。 entity dongtaixianshi1 is port ( clk: IN STD_LOGIC。 y: buffer std_logic_vector(1 downto 0) 现代电子与系统设计总结报告 14 dongtaixianshi2 的 VHDL 语言: )。 end dongtaixianshi1。 architecture behave of dongtaixianshi1 is begin process(clk) begin if(clk39。 event and clk=39。 139。 ) then if(y=11) then y=00。 else y=y+1。 end if。 end if。 end process。 end behave。 library ieee。 use。 use。 entity dongtaixianshi2 is port ( a: in std_logic_vector(1 downto 0)。 yjia1,yjia0,yyi1,yyi0: in STD_LOGIC_VECTOR(6 downto 0)。 y: out std_logic_vector(6 downto 0)。 pianxuan: out std_logic_vector(3 downto 0) )。 end dongtaixianshi2。 architecture behave of dongtaixianshi2 is begin process(a) begin case a is when 00 = y=yjia1。 pianxuan=0111。 when 01 = y=yjia0。 pianxuan=1011。 when 10 = y=yyi1。 pianxuan=1101。 when OTHERS = y=yyi0。 pianxuan=1110。 end case。 end process。 end behave。 现代电子与系统设计总结报告 15 三、结果分析 注:本实验采取实际测试的方法。 采用 Altera 新一代的 MAXⅡ器件 EPM570T100C5。 程序下载方法采用 ByteBlaster。 分配引脚 : 分析: ( 1) 经测试,完全符合要求。 ( 2) 上 述设计的乒乓球比赛游戏机用到了自下而上的层次化设计方法,用到了 VHDL语言设计输入方法和原理图设计输入方法。 ( 3)由调节晶振产生的时钟脉冲信号的频率,可以调节球的运动速度。 符号 分配引脚 名称 备注 in1 pin_27 K201 选手甲,按下即为击球。 in2 pin_30 K204 选手乙,按下即为击球。 reset pin_33 S205 裁判,拨盘开关拨到左边即为将双方得分清零。 clk pin_62 CLK 时钟信号。 reset1 pin_34 S206 裁判,拨盘开关先拨到左边后拨到右边即为分配发球权。 y1[8] pin_50 D208 Y1[8..1]连到实验箱的 8个 LED。 当 Y1[8]亮时,要求乙迅速击球,当Y1[1]亮,要求甲迅速击球。 若击中,点亮的 LED会依次从左到右或从右到左;若未击中,球跳出桌外,对方得一分。 y1[7] pin_49 D207 y1[6] pin_48 D206 y1[5] pin_47 D205 y1[4] pin_42 D204 y1[3] pin_41 D203 y1[2] pin_40 D202 y1[1] pin_38 D201 y[6] pin_81 a 显示计分 y[5] pin_82 b y[4。
阅读剩余 0%
本站所有文章资讯、展示的图片素材等内容均为注册用户上传(部分报媒/平媒内容转载自网络合作媒体),仅供学习参考。 用户通过本站上传、发布的任何内容的知识产权归属用户或原始著作权人所有。如有侵犯您的版权,请联系我们反馈本站将在三个工作日内改正。