2进制-10进制(bcd码)数码转换器设计(编辑修改稿)内容摘要:

when others=bcd=null。 —— 此都为把二进制翻译为 BCD 码。 end case。 end process。 end architecture fun。 武汉理工大学《能力拓展训练》课程设计说明书 6 对十进制数 分位 原理图如图 32 所示: 图 32 对十进制数分位原理图 具体程序语言如下: library ieee。 use。 use。 entity bcd is port(din:in std_logic_vector(11 downto 0)。 y0,y1,y2:out std_logic_vector(3 downto 0) )。 end bcd。 architecture fun of bcd is begin process (din) is begin case din is when000000000000=y0=0000。 y1=0000。 y2=0000。 when000000000001=y0=0001。 y1=0000。 y2=0000。 when000000000010=y0=0010。 y1=0000。 y2=0000。 when000000000011=y0=0011。 y1=0000。 y2=0000。 when000000000100=y0=0100。 y1=0000。 y2=0000。 when000000000101=y0=0101。 y1=0000。 y2=0000。 when000000000110=y0=0110。 y1=0000。 y2=0000。 when000000000111=y0=0111。 y1=0000。 y2=0000。 din[ 11. .0] y 0[ 3. .0]y 1[ 3. .0]y 2[ 3. .0]bc dins t武汉理工大学《能力拓展训练》课程设计说明书 7 when000000001000=y0=1000。 y1=0000。 y2=0000。 when000000001001=y0=1001。 y1=0000。 y2=0000。 when001001000110=y0=0110。 y1=0100。 y2=0010。 when001001000111=y0=0111。 y1=0100。 y2=0010。 when001001001000=y0=1000。 y1=0100。 y2=0010。 when001001001001=y0=1001。 y1=0100。 y2=0010。 when001001010000=y0=0000。 y1=0101。 y2=0010。 when001001010001=y0=0001。 y1=0101。 y2=0010。 when001001010010=y0=0010。 y1=0101。 y2=0010。 when001001010011=y0=0011。 y1=0101。 y2=0010。 when001001010100=y0=0100。 y1=0101。 y2=0010。 when001001010101=y0=0101。 y1=0101。 y2=0010。 when others=y0=0000。 y1=0000。 y2=0000。 —— 以上程序都为把输出的 BCD 码的个、十、百位分别存入 y0、 y y2 中。 end case。 end process。 end architecture fun 分别输出 十进制数 原理图如图 33 所示: 图 33 对三位分位输出原理图 C LK 2y 0[ 3. .0]y 1[ 3. .0]y 2[ 3. .0]D A OU T[3. .0]S E L[ 2. .0]S E LT IM Ein s t4武汉理工大学《能力拓展训练》课程设计说明书 8 具体程序语言如下: library ieee。 use。 use ieee。 use ieee。 entity seltime is port( clk2: std_logic。 y0,y1,y2:IN std_logic _vector(3 downto 0)。 daout:out std_logic _ ector(3 downto 0)。 sel: out std_logic _ vector(2 downto 0))。 end。 architecture fun seltime is signal count: std_logic _ vector(2 downto 0)。 begin sel=count。 process(clk2) begin if clk 239。 event and c。
阅读剩余 0%
本站所有文章资讯、展示的图片素材等内容均为注册用户上传(部分报媒/平媒内容转载自网络合作媒体),仅供学习参考。 用户通过本站上传、发布的任何内容的知识产权归属用户或原始著作权人所有。如有侵犯您的版权,请联系我们反馈本站将在三个工作日内改正。