电子信息课程报告-ps2键盘接口设计与vga显示控制内容摘要:
clk) 对接收到的 ps/2 键盘数据分析处理 begin if (clk39。 event and clk=39。 139。 ) then if (t=8) then if (shiftdata=11110000) then 接收到断码的“ F0” ,则表示有键弹起,否则表示有按键按下 flag=39。 139。 keycode(7 downto 0)=shiftdata(7 downto 0)。 else flag=39。 039。 keycode(7 downto 0)=shiftdata(7 downto 0)。 end if。 else flag=39。 039。 end if。 end if。 end process。 end behave。 Transform 模块 library ieee。 use。 use。 use。 entity transform is port ( datain : in std_logic_vector(7 downto 0)。 clk40MHZ : in std_logic。 isfo : in std_logic。 dataout : out std_logic_vector(7 downto 0)。 led : out std_logic )。 定义大小写切换标志,以 led 灯亮、灭来指示 end transform。 architecture behave of transform is signal NUM : std_logic_vector(7 downto 0)。 signal Caps: std_logic。 begin process(isfo) is begin if isfo39。 event and isfo=39。 039。 then if (datain = x12or datain = x59 )then 接收到Caps 后 Caps=not Caps。 end if。 end if。 led=Caps。 end process。 process(clk40MHZ) 扫描码转化成 ASCII 码进程 begin if(clk40MHZ39。 event and clk40MHZ=39。 139。 ) then case 000amp。 Caps amp。 datain is when x045 = NUM = 00110000。 0, 第 15 页 共 21 页 48 左边 是键盘 通码 ,右边是ASCII 码 when x016 = NUM = 00110001。 1 49 when x01E = NUM = 00110010。 2 50 when x026 = NUM = 00110011。 3 51 when x025 = NUM = 00110100。 4 52 when x02E = NUM = 00110101。 5 53 when x036 = NUM = 00110110。 6 54 when x03D = NUM = 00110111。 7 55 when x03E = NUM = 00111000。 8 56 when x046 = NUM = 00111001。 9 57 when x00E = NUM = 01111110。 ~ 126 when x055 = NUM = 00111101。 = 61 when x04E = NUM = 01011111。 95 when x054 = NUM = 01011011。 [ 91 when x05B = NUM = 01011101。 ] 93 when x05D = NUM = 01011100。 \ 92 when x04C = NUM = 00111011。 59 when x052 = NUM = 00011011。 39。 27 when x041 = NUM = 00101100。 , 44 when x049 = NUM = 00101110。 . 46 when x04A = NUM = 00101111。 / 47 when x029 = NUM = 00100000。 空格 32 when x05A = NUM = 00001101。 Enter 13 when x066 = NUM = 00001000。 退格 8 when x01C = NUM = 01100001。 a 97 when x032 = NUM = 01100010。 b 98 when x021 = NUM = 01100011。 c 99 when x023 = NUM = 01100100。 d 100 when x024 = NUM = 01100101。 e 101 when x02B = NUM = 01100110。 f 102 when x034 = NUM = 01100111。 g 103 when x033 = NUM = 01101000。 h 104 when x043 = NUM = 01101001。 i 105 when x03B = NUM = 01101010。 j 106 when x042 = NUM = 01101011。 k 107 when x04B = NUM = 01101100。 l 108 when x03A = NUM = 01101101。 m 109 when x031 = NUM = 01101110。 n 110 when x044 = NUM = 01101111。 o 111 when x04D = NUM = 01110000。 p 112 when x015 = NUM = 01110001。 q 113 when x02D = NUM = 01110010。 r 114 when x01B = NUM = 01110011。 s 115 when x02C = NUM = 01110100。 t 116 第 16 页 共 21 页 when x03C = NUM = 01110101。 u 117 when x02A = NUM = 01110110。 v 118 when x01D = NUM = 01110111。 w 119 when x022 = NUM = 01111000。 x 120 when x035 = NUM = 01111001。 y 121 when x01A = NUM = 01111010。 z 122 左边是键盘通码,右边是 ASCII 码 when x116 = NUM=x21。 ! when x11e = NUM=x40。 @ when x126 = NUM=x23。 when x125 = NUM=x24。 $ when x12e = NUM=x25。 % when x136 = NUM=x5e。 ^ when x13d = NUM=x26。 amp。 when x13e = NUM=x2a。 * when x146 = NUM=x28。 ( when x1。电子信息课程报告-ps2键盘接口设计与vga显示控制
相关推荐
振荡电路 ( 1) 555时基电路的参数及各管脚功能 为了正确使用 555 时基电路,必须了解它其中的参数值,以下就是其参数 ( 1)、 电源电压和静态电流 555 时基电路使用的电源电压在 ~16V范围内,故本电路采用 12V 电压供电。 静态电流也称为工作电源电流,是指空载时集成块消耗的电流。 当时,时基集成块的电源引脚上接上 15V 电压: 555 时基电路的静态电流典型值约为 10mA。
”项设为- 10mv。 这样才能起到差模输入的作用。 2. 设置分析类型 3. AnalysisSimulate,调用 Pspice A/D 对电路进行仿真计算。 4.测得恒流源给出的静态电流为 ,晶体管 Q1和 Q2的发射极电流相等,都为。 (思考为什么是相等的) 5. 在 probe 下,单击 TraceAdd,在 Trace Expression 中输入要显示的变量。
小于 ,不应小于 ,椅背到后面一排最突出部分的水平距离不应小于 ; 2 靠后墙设置座位时,最后一排座位排距应增大。 三 每排座位排列数目应符合下列规定: 1 短排法:两侧有纵走道且为最小排距时,每排座位数不应超过 22 个,以后排距每增加 30mm, 可增加 2 个座位;仅一侧有纵走道时,上述座位数相应减半。 2 长排法:双侧有走道时,每排座位最多可增至 50 个;仅一侧有纵走道时
下发生的交通事故占总数的%,同时还是主要的死亡原因(占 %);当混合交通且缺少交通控制时,造成的交通事故占总数的 %,死亡人数占 %( 1998)。 车辆性能差; 车辆是现代道路交通得以实现的主要因素,车况的好坏、车辆的性能等直接影响着道路交通的安全。 与发达国家相比,我国交通运输中的车辆总体特征表现为:耐用好修,适应炎热、严寒的气候,无装饰,车辆只具备基本性能。
4) 纸质文档和电子文档齐全,格式符合相关的工程技术标准和毕业设计规范。 2) 本课题重点内容、实 现途径 电动自行车最早是采用有刷直流电动机作为驱动电动机。 在电子元器件和电子技术取得突破性进展之后,最有发展前途的还是无刷直流电动机。 本设计提出了基于 STM32 芯片的电动自行车用无刷直流电动机的数字控制器研究与开发方案。 利用 STM32 单片机处理器开发的系统升级容易、扩展性、可维护性好