eda技术课程设计-彩灯控制器设计内容摘要:
end if。 end if。 7 end if。 end process。 process(clk,clr) variable c:std_logic_vector(1 downto 0)。 begin if clr=39。 139。 then clk3=39。 039。 else if clk39。 event and clk=39。 139。 then if c=01then c:=00。 clk3=39。 139。 else c:=c+1。 clk3=39。 039。 end if。 end if。 end if。 end process。 process(clk,clr) variable d:std_logic_vector(1 downto 0)。 begin if clr=39。 139。 then clk4=39。 039。 else if clk39。 event and clk=39。 139。 then if d=11then d:=00。 clk4=39。 039。 else d:=d+1。 clk4=39。 139。 end if。 end if。 end if。 end process。 clk1_1=clk1。 clk2_1=clk2。 clk3_1=clk3。 clk4_1=clk4。 end architecture caideng。 8 程序编译成功之后即可进行波形的仿真,为了方便观察显示结果,这里调节仿真时间为 1us ,脉冲频率 clk 的周期为 20ns,复位信号为 clr.。 保存之后,执行Quartus 软件中的 processing start simulation 选项,即可观察到波形仿真图像。 图 4— 1 时序电路波形仿真图 通过观察可以发现,时钟信号一直以 20ns 的频率输入,在复位信号 clr为高电平的时候,计数器中间变量 a、 b、 c、 d 被置为零。 当复位信号 clr 不为高电平时,变量 a、 b、 c、 d 开始计数,当 b 计够两个时钟周期的上升沿的时候,clk2_1 被置为高 电平,等待下一个时钟上升沿来临的时候,重新置为低电平,如此循环得到新的时钟周期。 Clk1_ clk3_ clk4_1 类似,在此重点解释一下clk1_1,由于显示模块要使用低频的时钟信号,所以 clk1_1 的中间变量被设为记满“ 1111100”才被置为高电平,用以降低频率,延长显示时间。 显示模块 library ieee。 use。 use。 entity XHKZ is port(clk:in std_logic。 clr:in std_logic。 LED7:OUT STD_LOGIC_VECTOR(6 DOWNTO 0))。 end entity。 architecture caideng of XHKZ is signal n:std_logic_vector(9 downto 0)。 SIGNAL DISPLAY:STD_LOGIC_VECTOR(6 DOWNTO 0)。 9 begin process(clk,clr) is begin if clr=39。 139。 then —— 复位信号为高电平,中间量 n 清零 n=0000000000。 elsif clk39。 EVENT AND clk = 39。 139。 then —— 否则如遇到时钟上升沿,计数开始 if n=1111111111 then —— 计满“ 1111111111” ,将中间量清零 n=0000000000。 else n=n+1。 end if。 if n=0000000000 and n=0111010100 then DISPLAY=1110111。 elsif n=0111010100 and n=1010101000 then DISPLAY=1111111。 elsif n=1010101000 and n=1111111111then DISPLAY=0111001。 end if。 end if。 end process。 LED7=DISPLAY。 end architecture caideng。 图 4— 2 显示电路波形仿真图( 1) 10 图。eda技术课程设计-彩灯控制器设计
相关推荐
=3 THEN cout = 39。 039。 ELSE cout = 39。 139。 END IF。 cq =cqi。 END PROCESS。 END。 灯移模块 LIBRARY IEEE。 USE。 USE。 ENTITY lmov IS PORT(kl,kr:IN STD_LOGIC_VECTOR(2 DOWNTO 0)。 led:OUT STD_LOGIC_VECTOR(6
买 30 6 网络因素,带宽,ISP 服务等。 租用 20 预算总共: 200 万 按照热备方案实施,遇到灾难时 : 系统 恢复 时间 估计 在 2小时内。 恢复的数据可以保证是灾难发生前的实 时数据。 系统恢复时间是指:自准备开始恢复系统起,到恢复系统完成的时间总和。 评论: 目前公司 还没有与生产环境的镜像,并保持数据同步。 即:目前 没有热备恢复的环境。 Copyright169。
格 想将某单元格(包括该单元格的格式和 欢迎大家来到【顶你学堂】学习。 我们的网址是: 注释)从工作表中完全删除吗。 只要选 择需要删除的单元格,然后按下 “ Ctrl+ (减号)”,在弹出的对话 框中选择单元格移动的方式,周围的单 元格将移过来填充删除后留下的空间。 26. 快速删除空行 有时为了删除 Excel 工作簿中的空行, 你可能会将空行一一找出然后删除,这 样做非常不方便。
需要配置相应的保护,减少了装置类型和备品备件。 ■ 采用 32位数字信号处理器( DSP),具有先进内核结构、高速运算能力和实时信号处理等 优良特性,过去由于 CPU性能等因素而无法实现的保护算法可轻松实现。 ■ DeviceNet( CAN)现场总线通信,具有出错帧自动重发和故障节点自动脱离等纠错机制,保证信息传输的实时性和可靠性。 最高速率 1Mbps,最长距离 10km
90580 Email: (二) A/V系统: A/V系统由计算机、 VCR(录像机)、实物展台、攻放、音箱等 A/V设备构成;完成对各种图文信息(包括各种软件的使用、录像带、各种实物、声音)的播放功能; 实现多媒体电教室的现场扩音、播音,配合大屏幕投影系统,提供优良的视听效果。 (三) 智能型多媒体中央控制系统: 采用目前 世界上 档次最高、技术最成熟 、 功能最齐全,用途最广