eda课程设计vhdl语言数字时钟电子琴---来自重庆大学电子信息工程内容摘要:
_logic。 sound:out std_logic)。 end rjy4600_nzsound。 architecture rtl of rjy4600_nzsound is signal jishu:integer range 0 to 50000。 signal jishu1:integer range 0 to 50000。 signal didi:integer range 0 to 15:=0。 begin process(clk50_1000) begin if clk50_100039。 event and clk50_1000=39。 039。 then if naozhong_snd=39。 139。 then if didi=0 or didi=2 or didi=4 or didi=6 or didi=8 or didi=10 or didi=12 or didi=14 then if jishu20200 then sound=clk_1K。 else sound=39。 039。 end if。 if jishu=49999 then jishu=0。 didi=didi+1。 else jishu=jishu+1。 end if。 elsif didi=1 or didi=3 or didi=5 or didi=7 or didi=9 or didi=11 or didi=13 then if jishu120200 then sound=clk_2K。 else sound=39。 039。 end if。 if jishu1=49999 then jishu1=0。 didi=didi+1。 else jishu1=jishu1+1。 end if。 else didi=0。 sound=39。 039。 end if。 else sound=39。 039。 end if。 end if。 end process。 end rtl。 rjy4600_PS2_K: — ps2键盘串并行转换 library ieee。 use。 use。 use。 entity rjy4600_PS2_K is Port ( sysclk: in std_logic。 ps2clk: in std_logic。 ps2data: in std_logic。 reset: in std_logic。 led: out std_logic_vector(7 downto 0))。 end rjy4600_PS2_K。 architecture behav of rjy4600_PS2_K is signal ps2clk_r : std_logic_vector(2 downto 0)。 signal ps2clkfall : std_logic。 signal q : std_logic_vector(11 downto 0)。 signal ps2serialdata : std_logic_vector(10 downto 0)。 begin process(sysclk,reset) begin if reset=39。 039。 then ps2clk_r = 000。 elsif rising_edge(sysclk) then ps2clk_r(2) = ps2clk_r(1)。 ps2clk_r(1) = ps2clk_r(0)。 ps2clk_r(0) = ps2clk。 end if。 end process。 ps2clkfall=39。 139。 when ps2clk_r=110 else 39。 039。 process(sysclk) begin if rising_edge(sysclk) then if reset=39。 039。 then q = (others =39。 039。 )。 elsif ps2clkfall=39。 139。 then if q(0)=39。 039。 then q = ps2data amp。 01111111111。 else q = ps2data amp。 q(11 downto 1)。 end if。 end if。 end if。 end process。 process(q) begin if q(0) = 39。 039。 then ps2serialdata = q(11 downto 1)。 led = not ps2serialdata(8 downto 1)。 else led =11111111。 end if。 end process。 end behav。 rjy4600_tone_rom1: — 音符查表及简谱产生 library ieee。 use。 use。 use。 entity rjy4600_tone_rom1 is port(index:in std_logic_vector(7 downto 0)。 index1:in std_logic_vector(3 downto 0)。 c_g:in std_logic。 play_elec:in std_logic。 code:out std_logic_vector(3 downto 0)。 high1:out std_logic_vector(3 downto 0)。 tone:out std_logic_vector(10 downto 0))。 end rjy4600_tone_rom1。 architecture rtl of rjy4600_tone_rom1 is signal index0:integer range 0 to 255。 signal tone1:integer range 0 to 167ff。 signal code1:integer range 0 to 15。 begin tone=conv_std_logic_vector(tone1,11)。 code=conv_std_logic_vector(code1,4)。 search:process(index) begin index0=conv_integer(index1)。 if play_elec=39。 039。 then if c_g=39。 139。 then case index is when 10000010=tone1=2047。 code1=0。 high1=0000。 9null when 10001000=tone1=137。 code1=1。 high1=0000。 num lock when 10110101=tone1=345。 code1=2。 high1=0000。 / when 10000011=tone1=531。 code1=3。 high1=0000。 * when 10000100=tone1=616。 code1=4。 high1=0000。 when 10010011=tone1=772。 code1=5。 high1=0000。 7 when 10001010=tone1=912。 code1=6。 high1=0000。 8 when 10000110=tone1=1035。 code1=7。 high1=0000。 + when 10010100=tone1=1092。 code1=1。 high1=0001。 4 when 10001100=tone1=1197。 code1=2。 high1=0001。 5 when 10001011=tone1=1290。 code1=3。 high1=0001。 6 when 10010110=tone1=1332。 code1=4。 high1=0001。 1 when 10001101=tone1=1410。 code1=5。 high1=0001。 2 when 10000101=tone1=1480。 code1=6。 high1=0001。 3 when 10100101=tone1=1542。 code1=7。 high1=0001。 enter when 10001111=tone1=1570。 code1=1。 high1=0010。 0 when 10011001=tone1=1622。 code1=2。 high1=0010。 back space when 10001110=tone1=1669。 code1=3。 high1=0010。 when others=null。 end case。 else case index is when 10000010=tone1=2047。 code1=0。 high1=0000。 9null when 10001000=tone1=773。 code1=1。 high1=0000。 num lock when 10110101=tone1=912。 code1=2。 high1=0000。 / when 10000011=tone1=1036。 code1=3。 high1=0000。 * when 10000100=tone1=1092。 code1=4。 high1=0000。 when 10010011=tone1=1197。 code1=5。 high1=0000。 7 when 10001010=tone1=1290。 code1=6。 high1=0000。 8 when 10000110=tone1=1372。 code1=7。 high1=0000。 + when 10010100=tone1=1410。 code1=1。 high1=0001。 4 when 10001100=tone1=1480。 code1=2。 high1=0001。 5 when 10001011=tone1=1542。 code1=3。 high1=0001。 6 when 10010110=tone1=1570。 code1=4。 high1=0001。 1 when 10001101=tone1=1622。 code1=5。 high1=0001。 2 when 10000101=tone1=1669。 code1=6。 high1=0001。 3 when 10100101=tone。eda课程设计vhdl语言数字时钟电子琴---来自重庆大学电子信息工程
相关推荐
elsif cp=0100then q=0100。 elsif cp=1000then q=1000。 end if。 end if。 end process。 end store_arc。 图 按键存储电路仿真图 2 锁存器模块 library ieee。 use。 entity lock is port(d:in std_logic_vector(3 downto 0)。 6 / 15
31 页 连接到 FC SAN 上的关键业务系统服务器,需要配置专用的 HBA 光纤通道存储卡,针对 XXXXXXXX 服务器数量较多的情况,建议在服务器与 EMC CX4480C之间配置 2 台 EMC DS5000B SAN 光纤存储交换机,从而构成冗余 SAN 网络。 在每台 关键业务系统 服务器上安装 2 块光纤通道卡( HBA),同时存 储系统中配置 2 台光纤交换机,每台应用主机上的
peed、 Duplex、 Pause、 Back pressure); ◆ 支持广播风暴控制功能; ◆ 支持 Port VLAN、 VLAN和 N:1 VLAN; ◆ 支持 Qos 设定; ◆ 支持端口镜象; ◆ 支持端口汇聚; ◆ 支持端口统计; ◆ UTP 端口支持自动协商功能,自动调整传输方式和传输速率; ◆ 支持端口安全设置; ◆ 支持 4K MAC 地址自 学习; ◆
20WG) 第 7 页 共 76 页 包装清单 名称 数量 e8B DB120WG 设备 1 台 中文用户手册 1 本 电源变压器 1 个 安装导航光盘 1 张 电话线 1 个 直连网线 1 本 外用分离器 1 个 USB 连接线 1 根 保修卡 1 份 面板及使用说明 自左向右接口分别为: :接电话线,即 DSL 口; :可以连接 U 盘/移动硬盘等设备; :( WiFi Protected
双绞线( Twisted Pair),所以可以排除选项 C 和 D。 100BaseTx 采用 4B/5B 编码法,为达到 100Mbps 的传 输速率,要求介质带宽达到 125MHz。 而 3 类 UTP 的带宽只有 20MHz,所以 A 也是不正 确的。 2020 年下半年 网络工程师 试题 答案:( 61) B ● 许多网络通信需要进行组播,以下选项中不采用组播协议的应用是 ( 62)。