华中科技大学20xxxilinx课赛结合基于basys2的电子琴设计实验报告内容摘要:
values use。 Unment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM。 use。 entity top is port( clk_50MHz : in std_logic。 系统 50MHz 标准时钟输入 k_data : in std_logic。 PS/2 键盘的数据信号 k_clock : in std_logic。 PS/2 键盘的时钟信号 contrl1,contrl2,contrl3: in std_logic。 控制信号,用于控制电子琴各模式间的切换 recall: in std_logic。 高则回放存储的音乐 Rst : in STD_LOGIC。 用 于 VGA 的复位 ,其为低电平则屏幕显示 Red : out STD_LOGIC。 VGA 的红颜色线 Green : out STD_LOGIC。 VGA 的绿颜色线 Blue : out STD_LOGIC。 VGA 的蓝颜色线 H_Sync : out STD_LOGIC。 VGA 行同步 V_Sync : out STD_LOGIC。 VGA 场同步 D1 : out STD_LOGIC。 DA 模块的通道一的数据输入 D2 : out STD_LOGIC。 DA 模块的通道二的数据输入 CLK_OUT : out STD_LOGIC。 DA 模块的时钟 nSYNC : out STD_LOGIC DA 模块的同步信号 )。 end top。 architecture Behavioral of top is ponent automusic port( clk_50MHz: in std_logic。 系统 50MHz 标准时钟输入 key_data : in std_logic_vector(5 downto 0)。 键盘输入的音符代码 contrl1,contrl2,contrl3: in std_logic。 控制信号,用于控制电子琴各模式间的切换 recall: in std_logic。 控制存储回放的音乐 index_output1:out std_logic_vector(5 downto 0)。 输出的音符代码 index_output2:out std_logic_vector(5 downto 0) 输出的音符代码 )。 end ponent。 ponent vgactr Port ( Clk : in STD_LOGIC。 系统 50MHz 标准时钟输入 Rst : in STD_LOGIC。 控制 VGA 复位的信号,为低屏幕才显示正常的画面 contrl: in STD_LOGIC_VECTOR(5 downto 0)。 用于控制 VGA 显示不同图片的信号 Red : out STD_LOGIC。 VGA 的红颜色线 Green : out STD_LOGIC。 VGA 的绿颜色线 Blue : out STD_LOGIC。 VGA 的蓝颜色线 H_Sync : out STD_LOGIC。 VGA 的行同步 线 V_Sync : out STD_LOGIC)。 VGA 的场同步 线 end ponent。 ponent keyboard port( k_data : in std_logic。 PS/2 键盘的数据信号 k_clock: in std_logic。 PS/2 键盘的时钟信号 out_data : out std_logic_vector(5 downto 0) 该模块输出的音符编码 )。 end ponent。 ponent tone port( index1 : in std_logic_vector(5 downto 0)。 音符输入 index2 : in std_logic_vector(5 downto 0)。 音符输入 div1: out integer range 0 to 131072。 div 表示某音符的分频系数( 2 分频前) div2: out integer range 0 to 131072 div 表示某音符的分频系数( 2 分频前) )。 end ponent。 ponent speaker port( div1: in integer range 0 to 131072。 div 表示某音符的分频系数( 2 分频前) div2: in integer range 0 to 131072。 div 表示某音符的分频系数( 2 分频前) clk_50MHz : in std_logic。 clk_50MHz 表示系统的 50MHz 标准时钟 clk_output1: out std_logic。 clk_output 输出给扬声器的信号 clk_output2: out std_logic clk_output 输出给扬声器的信号 )。 end ponent。 ponent adc Port ( clk_50MHz : in STD_LOGIC。 系统 50MHz 标准时钟输入 clk_input1: in std_logic。 通道 1 的方波震荡 clk_input2: in std_logic。 通道 2 的方波震荡 D1 : out STD_LOGIC。 通道 1 的数据输出给扩展 DA 模块 D2 : out STD_LOGIC。 通道 2 的数据输出给扩展 DA 模块 CLK_OUT : out STD_LOGIC。 输出给扩展 DA 模块的时钟信号 nSYNC : out STD_LOGIC 输出给扩展 DA 模块的同步信号 )。 end ponent。 signal div1: integer range 0 to 131072。 通道 1 的分频系数 signal div2: integer range 0 to 131072。 通道 1 的分频系数 signal key_data : std_logic_vector(5 downto 0)。 signal index1 : std_logic_vector(5 downto 0)。 通道 1 的音符编码 signal index2 : std_logic_vector(5 downto 0)。 通道 2 的音符编码 signal clk_output1 : std_logic。 通道 1 的方波输出给 ad 模块 signal clk_output2 : std_logic。 通道 2 的方波输出给 ad 模块 begin u0: keyboard port map(k_data,k_clock,key_data)。 u1: automusic port map(clk_50MHz,key_data,contrl1,contrl2,contrl3,recall,index1,index2)。 u2: tone port map(index1,index2,div1,div2)。 u3: speaker port map(div1,div2, clk_50MHz,clk_output1,clk_output2)。 u4: vgactr port map(clk_50MHz,Rst,index1,Red,Green,Blue,H_Sync,V_Sync)。 u5: adc port map(clk_50MHz,clk_output1,clk_output2,D1,D2,CLK_OUT,nSYNC)。 end Behavioral。 键盘模块( keyboard) Company: Engineer: Create Date: 14:54:25 05/20/2020 Design Name: Module Name: keyboard Behavioral Project Name: Target Devices: Tool versions: Description: Dependencies: Revision: Revision File Created Additional Comments: Unment the following library declaration if using arithmetic functions with Signed or Unsigned values use。 Unment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM。 use。 Company: Engineer: Create Date: 18:43:04 05/19/2020 Design Name: Module Name: top Behavioral Project Name: Target Devices: Tool versions: Description: Dependencies: Revision: Revision File Created Additional Comments: library IEEE。 use。 use。 use。 Unment the following library declaration if using arithmetic functions with Signed or Unsigned values use。 Unment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM。 use。 entity keyboard is port( k_data : in std_logic。 PS/2 键盘的数据信号 k_clock: in std_logic。 PS/2 键盘的时钟信号 out_data : out std_logic_vector(5 downto 0) 输出的音符编码 )。 end keyboard。 architecture Behavioral of keyboard is signal tempdata : std_logic_vector(11 downto 0) :=000000000000。 tempdata 用于暂存从键盘接收到的每帧数据包 signal count,count。华中科技大学20xxxilinx课赛结合基于basys2的电子琴设计实验报告
相关推荐
,成为众多企业学习的典范。 随着全球经济一体化的迅速发展和国家产业结构调整及经济增长方式的转变,华为在基于企业文化的团队建设上也面临一些难题和不足,给企业的发展带来了巨大的挑战。 如何克服内外部环境变化的影响与难题,成了企业可持续发展急需解决的问题。 研究办法 以团队建设理论等为理论支撑,结合华为技术有限公司实 2 例,剖析华为的团队建设现状,分享华为在团队建设方面的优势,提出了目前华
定一 下参会人员的级别范围,如科级以上或者处级以上等,不论你是管理人员,还是其他专业人士,只要满足相应的级别要求,都必须参加,如果不清楚自己是否符合参会条件的话,可以先去问一问上司。 伴随着企业的发展,除管理层之外,也涌现出技术、营销、制造、采购、财务以及人力资源等方面的专业人士。 和唯一的行政称谓比起来,叠加式的头衔,已经进步了许多,至少承认了专业人士的基本地位
每人一本价值 30 元的读物,共 300 元; 二等奖1 组 , 每人一本价值 25 元的读物,共 250 元; 三等奖 2组,每人一本价值 20 元的读物,共 200 元; 我最喜欢的方案(观众投票决定),最佳团队奖 3 个 七、 后期工作: 把比赛的优秀作品汇编成册,印发给各部门参阅。 八、 活动时间表: 10 月 25 日前: 校会各部门就部门内部参赛人员进行随机编号,并于 11 月
夜班多的岗位倾斜,对合同护士逐步实行同工同酬,充分调动护理队伍积极性。 ( 8)医院要加强药品、物资、维修、送检、安全、陪检等方面的保障支持力度,减少 护士的非护理工作,做到护士上班时间不离开病房,确保护理服务内涵落实到位。 ( 9) 提高科室护士认识,特别是高年资护士,认真学习领会优质化护理服务示范病房的工作经验。 组织护士分批到其他科室深入学习。 ( 10)建立 机动 护士库