基于vhdl数控直流稳压电源的设计-数字电路内容摘要:
转换电路时,电路输出量 V0 和输入 d7~d0 的关系式为 V0=Vref28 RRf(d727+d626+...d020)。 DAC0832 芯片的特点 DAC0832 最具特色是输入为双缓冲结构,数字信号在进入 D/A 转前,需经过两个独立控制的 8 位锁存器传送。 其优点是 D/A 转换的同时, DAC 寄存器中保留现有的数据,而在输入寄存器中可送入新的数据。 系统中多个 D/A 转换器内容可用一公共的选通信号选通输出。 由于 DAC0832 输出级没有加集成运放 所以需外加 lm358 相配适用。 Lm358 封装 上图 所示。 其中 IN为反相输 入端 ,IN+为同相输入端;OUT 为输出端; VCC和 Vcc+为正负电源供; 调 整电路输出的设计 调整输出级采用运放作射极跟随器,使调整管的输出电压精确地与 D/A 转换器输出电压保持一致。 调整管采用大功率达林顿管,确保电路的输出电流值达到设计要求。 数控电源各部分工作所需的177。 15V 和177。 5V 电源由固定集成稳压 781791和 7805 提供,调整管所需输入电压,经简单整流,滤波即可得到,但要求能提供 5A 的电流。 输出电压的调整,主要是运用射极输出器发射极上所接的 电阻来完成的,此反馈电阻的主要作用是,把输出电压反馈到 NE5534 的输入级的反向输入端,当同相输入 IN+和 反向输入端 IN有差别是,调整输出电压使之趋于稳定,从 数字电路课程设计报告 第 8 页 共 19 页 而达到调整输出电压的目的。 电路调试 调节步骤如下: 输入数字 00000000,短接 Re Re、 Rf 调运放调零电位器 Rw,用数字万用表检测,使输出电压 Vo=0177。 1mV。 输入数字 10011001,调整 Re Re Rf 使输出电压 Vo 达到预定的满量程。 主要技术指标 本文所设计数控直流电源的电压输出范围为 0~,步进电压值为 ,输出纹波电压不大于 10mv,输出电流为 5A。 工作 电流: (5V时 ) (3V时 ) 改进措施 本电源输出电压大小尚受限制,在需要较高输出电压时,在不改变调节精度(即步进电压值)前提下,只要增加计数器的级联数和相应 D/A 转换器的个数,扩大数显指示范围,配合选用高电压输出运放,就能轻易地满足要求。 当需要正负对称输出电压时,只要另增一组电源,对 D/A 转换器及调整输出电路稍作改动即可达到目的。 本电路总体图示 数字电路课程设计报告 第 9 页 共 19 页 控制电路 总体框图 数字电路课程设计报告 第 10 页 共 19 页 第 4 章 控制部分源程序 计数显示部分 VHDL 的程序清单 library ieee。 use。 use。 entity t10 is port(rst,set,en,clk,ctr: in std_logic。 q: out std_logic_vector(3 downto 0)。 tc_u,tc_d: out std_logic)。 end t10。 architecture xx of t10 is signal q1: std_logic_vector(3 downto 0)。 signal tc_1,tc_2 : std_logic。 begin process(rst,set,ctr,clk,q1) begin if rst=39。 139。 then q1=0000。 elsif set=39。 139。 then q1=1001。 elsif (clk39。 event and clk=39。 139。 ) then if en=39。 139。 then if ctr=39。 139。 then if q1=9 then q1=0000。 else q1=q1+1。 end if。 elsif ctr=39。 039。 then if q1=0 then q1=1001。 数字电路课程设计报告 第 11 页 共 19 页 else q1=q11。 end if。 end if。 end if。 end if。 if ctr=39。 139。 then tc_2=39。 039。基于vhdl数控直流稳压电源的设计-数字电路
相关推荐
CLR_CNT 信号用于在每次测量开始时,对计数器进行复位,以清除上次测量的结果,该复位信号高电平有效,持续半个时钟周期的时间。 EN 为计数允许信号,在 EN 信号的上升沿时刻计数模块开始对输入信号的频率 进行测量,测量时间恰为一个时钟周期 (正好为单位时间 1s),在此时间里被测信号的脉冲数进行计数,即为信号的频率。 然后将值锁存,并送到数码管显示出来。 设置锁存器的好处是使显示的数据稳定
AL、 FORTRAN、 PROLOG等。 这些语言 运行在不同硬件平台、不同的操作环境中, 它们适合于描述过程和算法, 不适合作硬件描述。 在利用 EDA 工具进行电子设计时,逻辑图、分立电子元件作为整个越来越复杂的电子系统的设计已不适用。 任何一种 EDA 工具,都需要一种硬件描述语言作为 EDA 工具的 工作语言。 早期的硬件描述语言, 如 ABELHDL、 AHDL,是由不同的 EDA
时序仿真验证 设计输入 器件编程 在线测试 投产 设计修改 图 MAX+plusⅡ 软件工作流程 17 VHDL 程序的结构 一、 VHDL 程序的基本单元 VHDL 程序的结构由结构体、实体、配置( CONFIGURATION)、程序包( PACKAGES)和库( LIBRARIES)组成。 在一个具体的应用程序中,最基本的部分为实体和结构体。 在应用程序中,实体是唯一的,结构体可以具有多个。
和布线的标准硬件描述语言,因此它可以使设计成果在设计人员之间进行交流与共享,减少硬件电路设计的工作量,缩短开发周期 [8]。 软件开发工具 - MAX+ plus II MAX+PLUSⅡ概述 Max+plusⅡ 全称为 Multiple Array Matrix Programmable Logic User System 是Altera 公司提供的 FPGA/CPLD 开发集成环境,
QuartusII 软件中仿真成功,现在下载到试验箱上以验证其功能。 实验中采用万用模式模式五,程序中各个端口锁定到试验箱上对应的管脚下载验证。 最后得数码管显示计时、计程和计费数据如下: 以上可知等待时间为 10分钟,行驶公里数为 23公里。 根据设计要求计算所得费用为: 5+( 23km3km) * /km+(10min2min)* /min=5+26+12=43元,与试验箱上所得结果相同
的技术指标。 电梯在运转时先响应同方向上的请求,只有当同 方向上的请求响应完后,才能转而响应不同方向上的请求。 (2)初始化状态为 1 楼等待门是关闭的。 这个就不用多解释了。 除了我对电梯的运行规律做出如上的分析外,我的另一个选择开发智能电梯控制器的原因是我想锻炼一下自己的逻辑思维和分析复杂问题的能力。 电梯的设计 概要 电梯的管脚 图 31 是电梯自动 控制的元器件模块符号。