基于vhdl语言的数字电子钟设计内容摘要:
ount1660)then if(count=1011001)then enhour_1=39。 139。 count=0000000。 else count=count+7。 end if。 else count=0000000。 end if。 elsif(count1660)then count=count+1。 enhour_1=39。 039。 after 100 ns。 else count=0000000。 end if。 end if。 end process。 end fun。 模块源程序如下: library ieee。 use。 use。 entity hour is port(clk,reset:in std_logic。 daout:out std_logic_vector(5 downto 0) )。 end entity hour。 architecture fun of hour is signal count:std_logic_vector(5 downto 0)。 begin daout=count。 process(clk,reset) begin if(reset=39。 139。 )then count=000000。 elsif(clk39。 event and clk=39。 139。 )then if(count(3 downto 0)=1001)then if(count1623)then count=count+7。 else count=000000。 end if。 elsif(count1623)then count=count+1。 else count=000000。 end if。 end if。 end process。 end fun。 模块源程序如下: library ieee。 use。 entity clock_top is port(clk,reset,setmin,sethour:in std_logic。 second_daout,minute_daout:out std_logic_vector(6 downto 0)。 hour_daout:out std_logic_vector(5 downto 0) )。 end clock_top。 architecture a of clock_top is ponent second port(clk,reset,setmin:in std_logic。 daout:out std_logic_vector(6 downto 0)。 enmin:out std_logic)。 end ponent。 ponent minute port(clk,reset,clk1,sethour:in std_logic。 enhour:out std_logic。 daout:out std_logic_vector(6 downto 0))。 end ponent。 ponent hour port(clk,reset:in std_logic。 daout:out std_logic_vector(5 downto 0))。 end ponent。 signal enmin_re,enhour_re:std_logic。 begin u1:second port map(reset=reset, clk=clk, setmin=setmin, enmin=enmin_re, daout=second_daout)。 u2:minute port map(clk=enmin_re, reset=reset, clk1=clk, sethour=sethour, enhour=enhour_re, daout=minute_daout)。 u3:hour port map(clk=enhour_re, reset=reset, daout=hour_daout)。 end a。 (二) 硬件设计 利用 QuartusII 把程序写入实验板,根据上面的输入输出引脚,锁定到芯片引脚。 本实验运用的芯片是 EPF10K10LC844,还有 利用了 6 个 LED 显示,分别显示时、分、秒各两个,没有利用译码器,利用的 LED 是 8引脚的。 本次验证利用实验板的模式 7,根据板的说明书,锁定引脚并下载程序。 引脚锁定 如下表 : 端子名称 锁定引脚 端子名称 锁定引脚 clk pin_93 Minute[2] pin_67 sethour pin_7 Minute[3] pin_68 Setmin pin_4 Minute[4] pin_69 reset pin_10 Minute[5] pin_70 hour[0] pin_73 Minute[6] pin_71 hour[1] pin_74 Second[0] pin_39 hour[2] pin75 Second[1] pin_40 hour[3] pin_76 Second[2] pin_41 hour[4] pin_77 Second[3] pin_42 hour[5] pin_78 Second[4] pin_。基于vhdl语言的数字电子钟设计
相关推荐
( 7, 4)汉明码知识介绍 基本概念 线性分组码是一类重要的纠错码,应用很广泛。 在( n, k)分组码中,若监督码元是按线性关系模 2 相加而得到的,则称其为线性分组码。 现在以 (7,4)分组码为例来说明线性分组码的特点。 设其码字为A=[a6, a5, a4, a3, a2, a1, a0],其中前 4 位是信息码元,后 3 位是监督码元,可用下列线性方程组来描述该分组码产生监督元:
vices技术使得整个的应用程序开发技术从以操作系统为中心的应用程序组织模式扩展到以网络为中心的组织模式,即在视野上从本地扩大到了全球。 两个中心的标志性技术分别为基于本地的组件技术( 、 javabean 等)和基于网络的Web services( xml/soap)技术。 它给我们带来的一大好处是:由于 XML 的支持,使得数据共享方式从原来的人 人、机器 人模式发展到机器 机器模式(软件
丰富模型以使我们能够在运行时操作属性和方法。 如果你正在使用 Visual 开发 .Net 程序,那么你就不需要再安装其它软件了,因为他已经内嵌在 Visual 中了。 6 水晶报表的功能 水晶报表可以 使用各种资料来源制作报表 ;水晶报表 享用功能强大的设计与格式设定功能 ;水晶报表 结合具弹性的分析 ;水晶报表 最快的报表处理能力 ;水晶报表 灵活的报表传送作业 ;水晶报表 可扩充的 Web
elsif ena=39。 139。 then accum=d。 end if。 end if。 end process。 end art。 图 34 为累加器各信号仿真波形图。 如图所示, 当复位信号 reset=‘ 0’ 、使能信号 ena=‘ 1’ 时,在每个 clk 上升沿到来后, ACC 接收来自于数据总线 d[7..0]的数据 (00、 0 0 0 04);当 ena=‘ 0’ ,
帮助之下,通过自己的不断学习努力,我不但逐渐 的熟悉了业务,也对这份工作产生了感情,同时也收获了领导和同志们的好评。 从事计划生育工作以来,我一直负责宣传教育工作,主要包括新闻宣传、幸福家庭建设、出生人口性别比综合治理等工作。 我真的很喜欢这些工作,虽然我不是学的这个专业,但兴趣是最好的老师,我去钻研、去请教、多学多看多写,自加压力,自我督促,从宣教工作的门外汉成为业务能手。
Q3:=Q3+1。 END IF。 END IF。 ELSE IF Q21001 THEN Q2:=Q2+2。 ELSE Q2:=0001。 IF Q31001 THEN Q3:=Q3+1。 20 END IF。 END IF。 END IF。 WHEN OTHERS=NULL。 END CASE。 END IF。 C1=Q1。 C2=Q2。 C3=Q3。 END PROCESS。 END