基于fpga的数字波形发生器系统设计内容摘要:
京:科学出版社 .2020。 3. 赵俊超等 .集成电路设计 VHDL 教程 .【 M】 .北京 .北京希望电子出版社 .2020。 4. 周俊峰 陈 涛 . 基于 FPGA 的 直 接 数 字 频 率 合 成 器 的 设 计 和 实现 . 年 附录 : 顶层程序 文件名: library IEEE。 use。 use。 use。 entity top is Port (sysclk,reset,key1,add,sub,key_route:in std_logic。 led1,led10,led100,led1000: out std_logic。 data : out std_logic_vector( 8 downto 0))。 end top。 architecture Behavioral of top is ponent key Port (sysclk,key1,reset,add,sub: in std_logic。 led1,led10,led100,led1000,clock3200: out std_logic。 N:out integer range 1023 downto 0)。 end ponent。 ponent pir_add Port ( sysclk,reset : in std_logic。 N :in integer range 1023 downto 0。 addr: out integer range 1023 downto 0。 aslant,triangle,rectangular:out std_logic_vector( 8 downto 0))。 end ponent。 ponent sinx PORT ( Qt : in INTEGER RANGE 1023 DOWNTO 0。 DD : out std_logic_vector(8 downto 0) )。 end ponent。 ponent choice_function Port (clk3200,reset,key_route:in std_logic。 sin_data,tri_data,asl_data,rec_data:in std_logic_vector(8 downto 0)。 function_data: out std_logic_vector(8 downto 0))。 end ponent。 signal N_p,addr_p :integer range 1023 downto 0。 signal clock3200_p :std_logic。 signal sin_data_p,tri_data_p,asl_data_p,rec_data_p :std_logic_vector(8 downto 0)。 begin 9 key_u : key port map (sysclk=sysclk,reset=reset,add=add,sub=sub,key1=key1,clock3200=clock3200_p, led1=led1,led10=led10,led100=led100,led1000=led1000,N=N_p)。 pir_add_u : pir_add port map (sysclk=sysclk,reset=reset,N=N_p,addr=addr_p,aslant=asl_data_p,triangle=tri_data_p, rectangular=rec_data_p)。 sinx_u :sinx port map (Qt=addr_p,DD=sin_data_p)。 choice_function_u : choice_function port map clk3200=sysclk,reset=reset,key_route=key_route, sin_data=sin_data_p,tri_data=tri_data_p,asl_data=asl_data_p,rec_data=rec_data_p,function_data=data)。 end Behavioral。 正弦波波 形存储 文件名: 说明:限于篇幅,只给出部分程序代码 library IEEE。 use。 use。 use。 entity sinx is PORT ( Qt : in INTEGER RANGE 1023 DOWNTO 0。 DD : out std_logic_vector(8 downto 0) )。 end sinx。 architecture Behavioral of sinx is SIGNAL D : INTEGER RANGE 255 DOWNTO 0。 BEGIN PROCESS(Qt) BEGIN CASE Qt IS when 0001 = D=128。 when 0002 = D=128。 when 0003 = D=129。 when 0004 = D=130。 when 0005 = D=131。 when 0006 = D=131。 when 0007 = D=132。 when 0008 = D=133。 when 0009 = D=134。 when 0010 = D=135。 (部分省略) when 1011 = D=117。 when 1012 = D=118。 when 1013 = D=119。 when 1014 = D=120。 when 1015 = D=120。 when 1016 = D=121。 when 1017 = D=122。 when 1018 = D=123。 when 1019 = D=124。 when 1020 = D=124。 when 1021 = D=125。 when 1022 = D=126。 when 1023 = D=127。 when 0000 = D=127。 WHEN OTHERS = NULL。 end case。 END PROCESS。 DD = conv_std_logic_vector(D,9)。 end Behavioral。 = D=104。 when 0995 = D=105。 when 0996 = D=106。 when 0997 = D=106。 when 0998 = D=107。 when 0999 = D=108。 相位累加器 及三角波、矩形波、三角波发生器 程序名: library IEEE。 10 use。 use。 use。 ent。基于fpga的数字波形发生器系统设计
相关推荐
码器的输出进行片选,译码器的两个地址引脚由单片机控制。 使用三块双口 RAM 的好处是可以顺利地接受单片机发送过来的数据,不必再增加额外的接口电路。 DDS 电路输出相位地址寻址波形数据存储器,波形数据存储器的输出和基准波模块的输出通过数字比较器 产生 PWM 波形。 DDS 基本原理 直接数字合成 (DDS, Direct Digital
扰性的高频信号,由于不须运算发大器,参数 计算容易,对系统要求不高。 因此在本设计中,利用 RC 低通滤波器就可以达到要求。 第三章 软件设计 相关软件采用 VHDL 硬件描述语言编写。 VHDL 是用于逻辑设计的硬件描述语言, 为IEEE 标准。 利用它,硬件的功能描述可完全在软件上实现。 它支持自顶向下( Top Down)和基于库( Library_Based)的设计方法,支持同步电路
的实现步骤: (1):对输入和输出值进行分配 第一个输入: 位置误差 E 设定量化论域 E={10, „ „ ,10},模糊语言子集 E 为 {NB(负大 )、 NM(负中 )、 NS(负小 )、ZE(零 )、 PS(正小 )、 PM(正中 )、 PB(正大 )}。 第二个输入:位移误差变化量 设定量化论域 EC={1,0,1}, EC 模糊语言子集取 {N (负 )、 ZE (零 )、 P(正
TB=S1(4)amp。 S0(4)。 在此添加“B”的功能模块中,例如程序代码为:(CODEOUTV=01)THEN IF(COUNT1=0)THEN FIRST_1=39。 139。 COUNT1=1。 S1(4)=S1(3)。 S0(4)=S0(3)。 表示当输入的代码CODEOUTV=01时,判断计“1”计数器COUNT1的状态,当为0时,即V之间的非0符号为偶数时
第一章 绪 论 数字 秒表是日常生活中比较常见的电子产品 ,其设计也是 EDA 技术中重要的设计之一 [1]。 EDA 是 20 世纪 90 年代初以来迅速发展起来的现代电子工程领域的一门新技术。 它以可编程逻辑器件 (PLD)为载体 ,以计算机为工作平台 ,以EDA 工作软件为工作环境 ,以硬件描述语言 (VHDL)为电子系统功能描述方式 ,以电子系统设计为应用方向的电子产品自动化设计过程。
P Builder 设计工具,与 MATLAB 相结合,利用 MATLAB 中自带的滤波器模块与 DSP Builder 中所包含的 FPGA 模块构建 FIR 数字滤波器,并在 Simulink中实现仿真。 第 3 章 FPGA DSP 系统设计分析 DSP 的基本概念 数字信号处理 (DSP)技术的迅速发展,已经广泛应用于 3G 通信,网络会议,多媒体系统,雷达声纳,医学仪器