基于fpga的电机测速显示设计内容摘要:
d_logic。 endmeasure,gate:out std_logic)。 end control。 architecture art of control is signal q:integer range 0 to 1。 begin process(clk,reset,start) begin if reset=39。 139。 then gate=39。 039。 不允许计数 endmeasure=39。 039。 计数器复位 elsif start=39。 139。 then if clk39。 event and clk=39。 139。 then if q=1 then gate=39。 039。 达到一分钟不允许再计数 q=0。 endmeasure=39。 139。 计数器复位 else gate=39。 139。 q=q+1。 endmeasure=39。 039。 计数器不复位 end if。 end if。 end if。 end process。 end architecture。 ,其中 clr与 endmeasure相连 ena与 gate相连,第一个 SM传感器信号与产生的 CP脉冲信号相连, 第一、二、三 carryout与 sm相 连,第四carryout置空,显示信号 xs分别与下一单元寄存器 D相连 ,clr为零, ena为 1, sm接到上升沿脉冲时计数 十进制计时器 library ieee。 已调试 use。 use。 use。 entity t10 is port(clr,ena,sm:in std_logic。 carryout:out std_logic。 xs:out std_logic_vector(0 to 3) )。 end t10。 architecture art of t10 is signal temp:std_logic_vector(0 to 3)。 begin c1:process(clr,sm,ena) begin if clr=39。 139。 then temp=0000。 计数器清零 elsif ena=39。 139。 then 计数使能 if sm=39。 139。 then开始计数 if temp=1001then temp=0000。 达到 9清零 else temp=temp+1。 end if。 end if。 end if。 end process c1。 c2:process(temp)temp做为触发信号 begin if temp=0000then carryout=39。 139。 向高位进位 end if。 end process c2。 xs=temp。 end art。 ,当 60s时间到时 ,四位寄存器 ena使能,将寄存器中的数据输出 ,其中 ena与endmeasure相连, 作用为将数据存储。 四位寄存器 生成的器件如图所示 library ieee。 已调试 use。 use。 use。 entity shortage4 is port(ena:in std_logic。 接 endmeasure,当为 1时允许输出 D:in std_logic_vector(0 to 7)。 Q:out std_logic_vector(0 to 7) )。 end entity shortage4。 architecture art of shortage4 is signal temp: std_logic_vector(0 to 7)。 begin process(ena) begin if ena=39。 139。 then temp=D。 end if。 end process。 Q=temp。 end architecture art。 LED与寄存器相连,运用动态扫描,从高位依次向低位闪烁。 用四个数码管,显示范围为 0000到9999。 与寄存器 Q端口相连,做为段选信号, 但 LED灯亮否还得看位选信号。 7段 共阴极 LED译码器 所生成的器件如图示 单输入单输出,每次选定一个数,对应一七位数据,然后将七位数据送出。 library ieee。 已调试 use。 use。 use。 entity ymq is port( ain4:in std_logic_vector(0 to 3)。 bout7:out std_logic_vector(0 to 6) )。 end ymq。 architecture cymq of ymq is signal temp:。基于fpga的电机测速显示设计
相关推荐
统。 对软件系统进行可行性分析的第一步就是要获取公司的需求,首先要了解系统的业务开始。 并不是所有的问题都具有简单的解决办法,许多问题不能在预定的规模之内解决。 因此通过可行性的研究分析可以知道是否存在问题。 我们可以从以下几个方面对能否实现系统目标进行可行性分析: 管理上的可行性分析 随着房地产业务的不断发展,客户的不断增加,以往房地产公司的客户和房屋资料都是由管理人员自行管理,
软件设计与实现将采用面向对象的方法,以软件对象(类)和逻辑相关的软件对象构成的应用组件( Component)为基础进行开发,利用系统分析与设计过程构造的 UML 类图和类图基础上构造的 UML 部件视图( Component View)进行编程和组织。 在具体实现上,将按业务职能和机构组成划分应用子系统,子系统实际上是应用类与部件的逻辑组合,各子系统通过共享类与部件实现数据的共享。 系统采用
金斯 (johns hopkins)大学物理实验室来研究和发展卫星定位导航系统,称为美国海军导航卫星系统,简称 NNSS(navy navigation satellite system)系统。 同时也被称为“子午卫星系统”,因为 NNSS 中的卫星轨道穿过了地极。 1959 年 9 月,一颗实验性卫星在美国发射, 5 年的研究与测试后,即 1964 年,建成了 NNSS 系统。 而在 1967
波器设计,而且能完成 DSP 高级数据处理功能,因而 FPGA 在现代通信领域方面获得广泛应用。 在产品设计与制造方面,从高性能的微处理器、数字信号处理器,一直到彩电、音响和电子玩具电路等, EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在电子设备的研制与生产、电路板的焊接等方面有着重要的作用。 可 以说电子 EDA技术已经成为电子工业领域不可缺少的技术支持 [12]。 8 21
1、托竹荪是我国特产,肉厚味香,营养丰富,为上等“山珍”,每千克干品外贸出口价值在 5080 美元。 有关红托竹荪的栽培技术及管理经验如下。 1 菌种选择与制作目前国内人工驯化栽培的红托竹荪品种较多,宜选用发菌快、出菇早、产量高,且干品品味较高的优良品种,而且还应选择接种 1 年可连收23 年的品种。 原种和栽培种制作配方:木屑或棉籽壳 76%,麦皮 20%,白糖 1%,石灰粉 2%,过磷酸钙