基于cpld的数字时钟设计内容摘要:
钟和秒; 具有时、分、秒、计数显示功能,以 24 小时循环计时; 具有清零,调节小时、分钟功能 ; 当时钟到了整点的时候,蜂鸣器发出声音,时间长度自行设定。 目的 熟练操作 MAX+plus II 软件; ; ,二十四进制计数器的设计方法 ; ; ; .熟练操作 EDA 实验 箱。 二 程序设计及模块说明与仿真 二十四进制 程序 library IEEE。 use。 use。 湖北轻工职业技术学院 6 use。 entity shi24 is port( clk:in std_logic。 clr : in std_logic_vector(1 downto 0)。 din:in std_logic_vector(3 downto 0)。 co :out std_logic。 ten1 ,one2 : out std_logic_vector(3 downto 0))。 end。 architecture one of shi24 is signal ten_temp1,one_temp2:std_logic_vector(3 downto 0)。 begin process(clk) begin if clr=00then ten_temp1=0000。 one_temp2=0000。 elsif clr=01 then one_temp2=din。 elsif clr=10 then ten_temp1=din。 elsif clk39。 event and clk=39。 139。 then if ten_temp1=2 and one_temp2=3 then ten_temp1=0000。 one_temp2=0000。 elsif one_temp2=9 then one_temp2=0000。 ten_temp1=ten_temp1+1。 else one_temp2=one_temp2+1。 end if。 end if。 end process。 ten1=ten_temp1。 one2=one_temp2。 co=39。 139。 when ten_temp1=2 and one_temp2=3 湖北轻工职业技术学院 7 else 39。 039。 end。 端口说明 CLK 为输入时序脉冲。 CLR 为 输入控制端,接拨码开关:当 CLR=“ 00”时,数码管显示“ 00” ;当 CLR=“ 01”时,调整数码管的个位;当 CLR=“ 10”时,调整数码管的十位;当 CLR=“ 11”时,运行二十四进制。 DIN 为置数端:设置给定的数。 CO 为进位端:当计满到 24 个脉冲时, CO 增加一位。 TEN1 和 ONE2分别显示二十四进制的十位和个位。 元件符号电路 仿真图 六十进制 程序 湖北轻工职业技术学院 8 六十进制和二十四进制设计相同,只须修改输出控制 library IEEE。 use。 use。 use。 entity fen60 is port( clk:in std_logic。 clr : in std_logic_vector(1 downto 0)。 din :in std_logic_vector(3 downto 0)。 co :out std_logic。 ten3 ,one4 : out std_logic_vector(3 downto 0))。 end。 architecture one of fen60 is signal ten_temp3,one_temp4:std_logic_vector(3 downto 0)。 begin process(clk,clr) begin if clr=00th。基于cpld的数字时钟设计
相关推荐
_A2:=0000。 ELSE POINTS_A2:=POINTS_A2+ 0001。 END IF。 ELSE POINTS_A1:=POINTS_A1+ 0001。 END IF。 ( 3)当按下减分按钮端 SUB 时,以给 A 组减分为例。 IF POINTS_A1=0000 THEN POINTS_A1:=1001。 IF POINTS_A2=0000 THEN POINTS_A2
的电路框图 a b a y clk clk1 clk sell(02) r clk g y tim1(03) timh(03) r clk g y timl(03) timh(03) clk y ≥ 1 sel(02) do(03) d1(03) q(03) d2(03) d3(03) d(03) 1 amp。 clkyk sel fen clk xiaopro no fen2 amp。
l/Mainframe)结构 的形式出现的。 这种体系结构使用用户能够尽可能地使用共享资源。 这里不仅包括了数据的共享,也包括了应用的共享。 终端所要做的事只是输人命令 (通常是以命令行的形式 ),显示结果,而有关的一切数据处理都交给了主机去完成。 在这样的结构中,由于终端不需要做什么处理工作,所以它可以很简单,也不必随着信息业的发展频繁更新,因此也称“哑”终端但是主机就不一样了
是因为电流的量值是微安级。 为了适应 ADC0809 芯片的输入要求,还需将 HN36 采集的温度信号要进行电流 /电压的转换,将电流信号转换为电压信号,并且对电压信号进行放大。 因此,本文设计的电阻型传感器如图。 本 科 毕 业 设 计 第 14 页 共 42 页 图 电阻型传感器 模数转换电路 单片机控制 ADC0809 的工作过程:首先通过指令选择 0809 的一个模拟输入通道,当执行
通过网络在任何地方,管理人员随时学生进行添加和删除,实现了信息的动态管理。 数据流程图描述数据流程图是对组织中信息运动的抽象,是管理信息系统逻辑模型的主要形式。 基于 ASP 的学生成绩查询系统11它不涉及硬件、软件、数据结构与文件组织,是用图形语言及与此相关的注释来表示系统的逻辑功能,及所开发的系统在管理信息处理方面要做什么。 顶层图图 1系统层次模块图:步骤 1 图 1学生信息处理过程步骤