基于eda抢答器的设计内容摘要:
elsif clk39。 event and clk=39。 139。 then co=39。 039。 if s=39。 139。 then if ta=0000 then ta=1001。 co=39。 139。 else ta=ta1。 end if。 end if。 end if。 end process p1。 p2:process(co,rst,s,stop,tb) begin 8 if rst=39。 039。 or stop=39。 139。 then tb=0010。 elsif co39。 event and co=39。 139。 then if s=39。 139。 then if tb=0000 then tb=0011。 else tb=tb1。 end if。 end if。 end if。 end process p2。 仿真图 (三)数 据选择模块 VHDL 源程序 library ieee。 use。 use。 use。 entity sjxz is port (a,b,c: in std_logic_vector(3 downto 0)。 clk2,rst: in std_logic。 s: out std_logic_vector(1 downto 0)。 y: out std_logic_vector(3 downto 0) )。 9 end sjxz。 architecture body_chooser of sjxz is signal count: std_logic_vector (1 downto 0)。 begin s=count。 process(clk2,rst) begin if(rst=39。 039。 )then count=00。 elsif(clk239。 event and clk2=39。 139。 )then if(count=10)then count=00。 else count=count+1。 end if。 end if。 case count is when 00=y=a。 when 01=y=b。 when 10=y=c。 when others=null。 end case。 end PROCESS。 end body_chooser。 仿真图 10 (四)报警模块 VHDL 源程序 LIBRARY IEEE。 USE。 USE。 ENTITY ALARM IS PORT(CLK,I:IN STD_LOGIC。 Q:OUT STD_LOGIC)。 END ALARM。 ARCHITECTURE BEHAVE OF ALARM IS SIGNAL WARN:STD_LOGIC。 SIGNAL N:INTEGER RANGE 0 TO 20。 BEGIN Q= WARN。 PROCESS(CLK) BEGIN IF CLK39。 EVENT AND CLK=39。 139。 THEN IF I=39。 039。 THEN WARN =39。 039。 ELSIF(I=39。 139。 AND N=19)THEN WARN =NOT WARN。 N=N+1。 ELSE WARN =39。 039。 END IF。 END IF。 END PROCESS。 END BEHAVE。 11 仿真图 (五)译码模块 VHDL 源程序 LIBRARY IEEE。 USE。 USE。 ENTITY YMQ IS PORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。 DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0))。 END YMQ。 ARCHITECTURE ART OF YMQ IS BEGIN PROCESS(AIN4) BEGIN CAS。基于eda抢答器的设计
相关推荐
量周期的原理图 频率计测量周期的原理图如下: 频率计测量周期的原理图 图 2 脉冲形成模块 计数模块 译码模块 控制模块 分频模块 量程切换模块 被测信号 锁存 清零 使能 基准信号 廊坊燕京职业技术学院毕业论文(设计) 第 6 页 共 47页 2 频率计测量频率的层次化设计方案 4 位十进制计数器模块 4位十进制计数器模块包含 4 个级联十进制计数器
POINTS_A0:=POINTS_A0+1。 END IF。 ELSIF CHOS=0010 THEN IF POINTS_B0=1001 THEN POINTS_B0:=0000。 ELSE POINTS_B0:=POINTS_B0+1。 9 END IF。 ELSIF CHOS=0011 THEN IF POINTS_C0=1001 THEN POINTS_C0:=0000。 ELSE
( Autonomous Intelligent Systems) 1991 年 AIS 中 的技术人员成立 了 AESOP ( Angewandte EDVSysteme fur Optimierung GmbH)公司,在原来的麦金塔计算机平台仿真软件的基础上,开发出一套用于制造业计划、仿真和优化的软件,命名为 SIMPLE++ 1997 年 以色列 Teomatix 软件公司斥资约
sec2=0000。 sec1=0000。 sec0=39。 139。 elsif (sec1=1001) then jidao 9s sec1=0000。 sec2=sec2+1。 sec0=39。 039。 else sec1=sec1+1。 zhengchangjishu 1s sec0=39。 039。 end if。 end if。 end process。 end。 三、时序仿真:
器如下图 43 第 7 页 (共 23 页 ) 图 43 四位串行输入并行输出寄存器 图 44 四 为串行输入并行输出寄存器 上图为 四 为串行输入并行输出寄存器,它由 4 个 D 触发组成,当 reset为高电平时,每给一脉冲输入数据将向右移一位二值代码,它能同时复位 控制模块 ( 1) 功能介绍 开锁时输入密码后 ,拨动 RT键使其为高电平,而 CHANGE 为低电平检测,密码正确时开锁
R (3 downto 0)。 signal direct: STD_LOGIC_VECTOR (3 downto 0)。 begin direct=39。 039。 amp。 conv_std_logic_vector(dir,3)+1。 st_out=39。 039。 amp。 conv_std_logic_vector(liftor,3)+1。 run_wait=39。 039。 amp。