vhdl实验报告与代码毕业设计word格式内容摘要:
HEX1[2] PIN_W21 HEX1[3] PIN_Y22 HEX1[4] PIN_AA24 HEX1[5] PIN_AA23 HEX1[6] PIN_AB24 LEDR[17] PIN_AD12 KEY[3] PIN_W26 CLOCK_50 PIN_N2 四 . 实验代码 LIBRARY ieee。 USE。 entity segment is port ( in1 : in integer range 0 to 15。 out1 : out std_logic_vector(6 downto 0)。 out2: out std_logic_vector(6 downto 0))。 end segment。 architecture seg of segment is type array3 is array(9 downto 0 )of std_logic_vector(6 downto 0)。 begin process(in1) CONSTANT lookuptable :array3 := ((0011000),(0000000),(1111000),(0000011), (0010010),(0011001),(0110000),(0100100),(1001111),(1000000))。 begin if(in1=10)then out2=1001111。 else out2=1111111。 end if。 if(in1=10)then out1=lookuptable(in110)。 else out1=lookuptable(in1)。 end if。 for i in 6 to 0 loop out1(i)=lookuptable(index,i)。 end loop。 end process。 end seg。 LIBRARY ieee。 USE。 entity segment is port ( clk: in std_logic。 key17: in std_logic。 led17: out std_logic。 out1 : out std_logic_vector(6 downto 0)。 out2: out std_logic_vector(6 downto 0))。 end segment。 architecture seg of segment is type array3 is array(9 downto 0 )of std_logic_vector(6 downto 0)。 signal in1 : integer range 0 to 15。 signal count1 : integer range 0 to 33554432。 begin process(clk) CONSTANT lookuptable :array3 := ((0010000),(0000000),(1111000),(0000010), (0010010),(0011001),(0110000),(0100100),(1001111),(1000000))。 begin if(clk39。 event and clk=39。 139。 )then count1=count1+1。 if(key17=39。 139。 )then led17=39。 139。 else led17=39。 039。 end if。 if(count1=33554432)then if(key17=39。 139。 )then in1=in1+1。 else in1=in11。 end if。 if(in1=10)then out2=1001111。 else out2=1111111。 end if。 if(in1=10)then out1=lookuptable(in110)。 else out1=lookuptable(in1)。 end if。 end if。 end if。 end process。 end seg。 五 . 实验心得体会 本次实验要用到七段码的知识,所幸我们前面已接触了不少,这里马上想到了用查表的办法。 除此之外,还要综合考虑定时,计数等。 实验五 . 状态机代码验证 一.实验目的 以状态机的一些代码为例,熟悉状态机设计方法 二.实验内容 以经典双进程状态机、使用变量的状态机、带异步清零的状态机、模式检测状态机、带摩尔和米立输出的状态机、 摩尔型状态机、米立型状态机代码为例,熟悉各种状态机设计方法,体会它样的区别 4. 学习已给的经典双进程状态机代码,画出状态转换图,编译,仿真。 5. 学习已给的使用变量的状态机代码,画出状态转换图,编译,仿真。 6. 学习已给的带异步清零的状态机代码,画出状态转换图,编译,仿真。 7. 学习已给的模式检测状态机代码,画出状态转换图,编译,仿真。 8. 学习已给的带摩尔和米立输出的状态机代码,画出状态转换图,编译,仿真。 9. 学习已给的摩尔型状态机代码,画出状态转换图,编译,仿真。 10. 学习已给的米立型状态机代码,画出状态转换图,编译,仿真。 三.实验代码 1)经典双进程状态机 MEALY TYPE STATE MACHINE EXAMPLE ENTITY fsm IS PORT( clock, x : IN BIT。 z : OUT BIT)。 END fsm。 ARCHITECTURE behaviour OF fsm IS TYPE state_type IS (s0, s1, s2, s3)。 SIGNAL present_state, next_state : state_type。 BEGIN state register process state_reg: PROCESS BEGIN WAIT UNTIL clock39。 EVENT AND clock = 39。 139。 present_state = next_state。 END PROCESS。 binational logic feedback process fb_logic:PROCESS(present_state, x) BEGIN CASE present_state IS WHEN s0 = IF x = 39。 039。 THEN z = 39。 039。 next_state = s0。 ELSE z = 39。 139。 next_state = s2。 END IF。 WHEN s1 = IF x = 39。 039。 THEN z = 39。 039。 next_state = s0。 ELSE z = 39。 039。 next_state = s2。 END IF。 WHEN s2 = IF x = 39。 039。 THEN z = 39。 139。 next_state = s2。 ELSE z = 39。 039。 next_state = s3。 END IF。 WHEN s3 = IF x = 39。 039。 THEN z = 39。 039。 next_state = s3。 ELSE z = 39。 139。 next_state = s1。 END IF。 END CASE。 END PROCESS。 END behaviour。 五.实验心得体会 通过本次实验,我收悉了仿真的基本方法,进一步加深了状态机的基本设计方法的掌握。 实验六 . 序列检测器的设计 一.实验目的 1. 掌握用 VHDL 实现状态机的方法 2. 利用状态机设计一个序列检测器 二.实验内容 使用状态机设计一个 5位序列检测器。 从一串二进制码中检测出一个已预置的 5 位二进制码 ”10110” [具体要求 ]。 (每增加一位二进制码相当于增加一个状态,再加上一个初始态,用 6 个状态可以实现 .) ,编译。 要求当检测到预置序列时,输出一个脉冲的高电平,其余时候输出为低电平。 ,看结果是否正确。 三 . 实验框图 四. 实验代码 library ieee。 use。 ENTITY fsm IS PORT( clock,x : IN BIT。 z : OUT BIT)。 END fsm。 x= 1 x= 1 x= 1 x= 0 x= 1 x= 0 x= 0 x= 0 x= 0 x= 1 x= 0 S0 S1 S2 S3 S4 S5 x= 0 ARCHITECTURE using_wait OF fsm IS TYPE state_type IS (s0, s1, s2, s3,s4,s5)。 BEGIN PROCESS VARIABLE st : state_type := s0。 BEGIN WAIT UNTIL (clock39。 EVENT AND clock = 39。 139。 )。 CASE st IS WHEN s0 = IF x = 39。 139。 THEN st := s1。 z = 39。 039。 ELSE st := s0。 z = 39。 039。 END IF。 WHEN s1 = IF x = 39。 039。 THEN st := s2。 z = 39。 039。 ELSE st:= s1。 z = 39。 039。 END IF。 WHEN s2 = IF x = 39。 039。 THEN st := s0。 z = 39。 039。 ELSE st := s3。 z = 39。 039。 END IF。 WHEN s3 = IF x = 39。 139。 THEN st := s4。vhdl实验报告与代码毕业设计word格式
相关推荐
的协 议: RSVP( Resource Reservation Protocol):一般说来,在 IP 网络上保留 足够的带宽用于多媒体的传送是十分困难的,为此 IEtF,定义了资源预留协议 ( RSVP)。 RSVP 允许接收者申请特定数量的带宽用以进行数据传输,有了 RSVP, 传统的无 QoS( Quality of Service)保证的 IP 网络获得了 QoS保证。 要能够使用
ed 93%, is close t o and r each t he domestic advanced level. In t he case of pr oduct ion half of t he cell, t his eff ect can be achieved and bet t er level in t he indust r y. 50,000 tons of
),并为检测属性设定一个动态监测的阀值。 在检测期间,模型对每个观测实例的属性值返回一个异常得分,这个得分是一个在区间 [0,1]之间的值,这个值代表了与学习阶段确定出来的 “正常” 属性的轮廓的相关性的大小。 也就是说,得分越接近 1,表示被检测的属性与“正常”属性的相关性越高,是异常的概率就越小,反之,得分越接近 0,表示越有可能是一个异常属性。 由于这多种模型分别与 Web
图 2 福建省 1:5 万 区域地质 ( 矿产)调查工作 程 度 图 通过地质工作者的辛勤劳动 ,福建省的基础地质调查研究程度有了显著的提 高 , 同时发现了大量的珍贵的地质现象和矿产地。 在地质调查的基础上 , 先后编 制了四代福建省 1: 50 万地质图和《福建省区域矿产总结 》,第五代福建省 1:50 万地质图和《福建省区域地质志》也将于今年完成。 福建省已完成了 全 省 1: 20 万
负 责 人: 第 0 页 共 65 页 年 /季 /月资金使用计划表 编号: A010 承包单位名称: 年 月 日 单位:元 工程项目名称 概预算总价 累计已支付资金 年资金计划 月资金计划 设备 材料 建安 其他 设备 材料 建安 其他 设备 材料 建安 其他 设备 材料 建安 其他 合 计 其中:支付供货商 支付承包人 第 0 页 共 65 页 工程款支付申请表 工程名称: 编号: A011
园式科技园‛工程,绿化面积目前已达到了 15 万平方米,又为打造‚ Business Park‛创造了较好的环境。 因此,‚商务花园‛落户丰台园也就成为了一种可能,同时也是北京规划的有益补充。 打造‚商务花园‛,引领 科技园区发展潮流。 随着北京经济的发展,目前各经济开发区争奇斗艳,竞争日益激烈。 中关村科技园区‚一区五园‛虽然取得了长足进步,但就现存态势来看,仍然处于同质竞争的阶段。