多路抢答器设计_eda课程设计(编辑修改稿)内容摘要:
位信号 rst;警报信号 tmp。 (二)计时模块 VHDL 源程序 library ieee。 use。 use。 entity js is port(clk,rst,s,stop:in std_logic。 warn:out std_logic。 ta,tb:buffer std_logic_vector(3 downto 0))。 end js。 architecture one of js is signal co:std_logic。 begin p1:process(clk,rst,s,stop,ta) begin 8 if rst=39。 039。 or stop=39。 139。 then ta=0000。 elsif clk39。 event and clk=39。 139。 then co=39。 039。 if s=39。 139。 then if ta=0000 then ta=1001。 co=39。 139。 else ta=ta1。 end if。 end if。 end if。 end process p1。 p2:process(co,rst,s,stop,tb) begin if rst=39。 039。 or stop=39。 139。 then tb=0010。 elsif co39。 event and co=39。 139。 then if s=39。 139。 then if tb=0000 then tb=0011。 else tb=tb1。 end if。 end if。 end if。 end process p2。 end one。 仿真图 9 计时模块图 在这个模块中主要实现抢答过程中的计时功能,在有抢答开始后进行 30 秒的倒计时,并且在 30 秒倒计时后无人抢答显示超时并报警。 其中有抢答时钟信号 clk2;系统复位信号 rst;抢答使能信号 s;抢答状态显示信号 states;无人抢答警报信号 warn;计时中止信号 stop;计时十位和个位信号 tb, ta。 (三)数据选择模块 VHDL 源程序 library ieee。 use。 use。 use。 entity sjxz is port (a,b,c: in std_logic_vector(3 downto 0)。 clk2,rst: in std_logic。 s: out std_logic_vector(1 downto 0)。 10 y: out std_logic_vector(3 downto 0) )。 end sjxz。 architecture body_chooser of sjxz is signal count: std_logic_vector (1 downto 0)。 begin s=count。 process(clk2,rst) begin if(rst=39。 039。 )then count=00。 elsif(clk239。 event and clk2=39。 139。 )then if(count=10)then count=00。 else count=count+1。 end if。 end if。 case count is when 00=y=a。 when 01=y=b。 when 10=y=c。 when others=null。 end case。 end PROCESS。 end body_chooser。 仿真图 11 数据选择模块图 在这个模块中主要实现抢答过程中的数据输入功能,输入信号 a[3..0]、 b[3..0]、 c[3..0];计数输出信号 s;数据输出信号 y;计数脉冲 clk2,实现 a、 b、 c 按脉冲轮流选通,在数码管上显示。 (四)报警模块 VHDL 源程序 LIBRARY IEEE。 USE。 USE。 ENTITY ALARM IS PORT(CLK,I:IN STD_LOGIC。 Q:OUT STD_LOGIC)。 END ALARM。 12 ARCHITECTURE BEHAVE OF ALARM IS SIGNAL WARN:STD_LOGIC。 SIGNAL N:INTEGER RANGE 0 TO 20。 BEGIN Q= WARN。 PROCESS(CLK) BEGIN IF CLK39。 EVENT AND CLK=39。多路抢答器设计_eda课程设计(编辑修改稿)
相关推荐
15,0x03, 0x16,0x66, 0x16,0x03, 0x16,0x02, 0x16,0x03, 0x15,0x03, 0x10,0x02, 0x10,0x01, 0x11,0x01, 0x11,0x66, 0x10,0x03, 0x0F,0x0C, 0x1A,0x02, 0x19,0x02, 0x16,0x03, 0x16,0x03, 0x18,0x66, 0x18,0x03,
发展,最初由计量 工人挨家挨户的直接读取到今天的智能化自动抄表技术的完善,种种迹象表明我国已经加快了现代化的步伐。 但是,由于某些方面的原因,远程抄表系统并未完善 ,即 便是 新型的 RS485总线传输技术代替了原有的手工抄表,但是还是有很多问题亟待解决 [1]。 传统的 RS485总线通 信 设备容量少,最多接入数量不超过 128个 ,不适合现代化的楼宇之间的信息传输;通 信
片内 ROM 型的芯片又分为片内 EPROM 型(典型芯片为 87C51)、 MASK 片内掩模 ROM 型(典型芯片为 8051)、片内 FLASH 型(典型芯片为89C51)等类型,一些公司还推出带有片内一次性可编程 ROM( One Time Programming, OTP)常州工学院毕业设计说明书 11 的芯片(典型芯片为 97C51)。 微控制器是电脑上的一个芯片。
显示单元设计 18 报警电路设计 19 20 第四章 软件的设计 21 胡俊霞:多路无线防盗报警系统 V 21 程序流程图 22 23 第五章 结束语 26 致谢 27 参考文献 28 附录一 整机电路图 29 附录二 PCB 版图 30 附录三 在校获奖情况 错误 !未定义书签。 胡俊霞:多路无线防盗报警系统 1 第一章: 引言 无线防盗报警器的发展状况 红外 防盗报 警
线 17 标准型。 单根 个钢 绞线的公称面积plA =139mm2 , pkf =1860 MPa, con =1860=1395 MPa。 预应力损失按张拉控制应力的 20%估算,则可得需要预应力钢筋的面积为 pA = conpeN )( = 1395 = 根据估算结果,采用 27 束 7 j 的预应力 钢绞线 ;锚具采用夹片式群锚,提供的预应力钢筋截面积为 pA =27 71
可以通过调节电阻 R1 来增大增益,然而这种接收放大器的增益的增加也是有极限的,这会随着应用的改变而改变,在生产该产品的时候都作了最终的匹配性的测试,这种测试是非常必要的,因为如果有一个电阻与该模块相匹配,我们想要的放大器增益比该模块增益的分布要小的多。 如果匹配的好的话,这种模块在很多应用中会表现的很 出色。 基于此接收放大器增益可以被增加,如果需要还可以相应的增加 R1