eda
T45M=00110000。 DOUT45B=00110101。 WHEN010000=DOUT45M=00101001。 DOUT45B=00110100。 WHEN010001=DOUT45M=00101000。 DOUT45B=00110011。 WHEN010010=DOUT45M=00100111。 DOUT45B=00110010。
e s i g n . c c u t . e d u . 设计项目的输入方式 A 原理图 符号 C 波形 B 文本 长 春 工 业 大 学 工 程 训 练 中 心 w w w . d e s i g n . c c u t . e d u . 设计项目的编译过程 Compiler 项目的编译 Compiler Netlist Database Synthesizer Fitter Timing
end if。 o=t0。 end process。 process(clk,clr,cin) variable t1:std_logic_vector(3 downto 0)。 begin if clr=39。 139。 then t1:=0000。 elsif clk 39。 event and clk=39。 139。 then if cin=39。 139。 then if
elsif cp=0100then q=0100。 elsif cp=1000then q=1000。 end if。 end if。 end process。 end store_arc。 图 按键存储电路仿真图 2 锁存器模块 library ieee。 use。 entity lock is port(d:in std_logic_vector(3 downto 0)。 6 / 15
_logic。 sound:out std_logic)。 end rjy4600_nzsound。 architecture rtl of rjy4600_nzsound is signal jishu:integer range 0 to 50000。 signal jishu1:integer range 0 to 50000。 signal didi:integer range 0 to
作为满足用户需求的专用集成电路使用了。 可编程逻辑器件,不仅速度快、集成度高,并且能随心所欲地完成用户定义的逻辑功能,还可以加密和重新编程,其编程次数最大可达 1 万次以上。 使用可编程逻辑器件可以大大简化硬件系统、降低成本、提高系统的可靠性、灵活性和保密性。 PLD 的出现,打破了由中小规模通用型集成电路和大规模专用集成电路垄断的局面,在通信、数据处 第 6 页 西华大学课程设计说明书 理
0。 mid=not mid。 else count=count+1。 end if。 fout=mid。 end if。 end if。 end process。 end architecture one。 library ieee。 use。 entity freq_div1min is port(fout0:in std_logic。 fout1: out std_logic)。 end
=3 THEN cout = 39。 039。 ELSE cout = 39。 139。 END IF。 cq =cqi。 END PROCESS。 END。 灯移模块 LIBRARY IEEE。 USE。 USE。 ENTITY lmov IS PORT(kl,kr:IN STD_LOGIC_VECTOR(2 DOWNTO 0)。 led:OUT STD_LOGIC_VECTOR(6
end if。 end if。 7 end if。 end process。 process(clk,clr) variable c:std_logic_vector(1 downto 0)。 begin if clr=39。 139。 then clk3=39。 039。 else if clk39。 event and clk=39。 139。 then if c=01then c:=00。
clk = 39。 139。 ) THEN c_state = n_state。 END IF。 END PROCESS COM2。 LATCH1 : PROCESS(lock) BEGIN 9 IF (lock39。 EVENT AND lock = 39。 139。 ) THEN temp = din。 END IF。 END PROCESS LATCH1。 END behave。